Defects vs. Faults Some Data from the ELF35 and Murphy Chips

Similar documents
ELF-Murphy Data on Defects and Test Sets

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

An Experiment to Compare AC Scan and At-Speed Functional Testing

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Launch-on-Shift-Capture Transition Tests

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Comparing Functional and Structural Tests

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Controlling Peak Power During Scan Testing

Unit V Design for Testability

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Lecture 18 Design For Test (DFT)

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Clock Gate Test Points

At-speed testing made easy

Digital Integrated Circuits Lecture 19: Design for Testability

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Diagnosis of Resistive open Fault using Scan Based Techniques

Adaptive Testing Cost Reduction through Test Pattern Sampling

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Scan. This is a sample of the first 15 pages of the Scan chapter.

Based on slides/material by. Topic Testing. Logic Verification. Testing

LOW-OVERHEAD BUILT-IN BIST RESEEDING

Using on-chip Test Pattern Compression for Full Scan SoC Designs

New Directions in Manufacturing Test

New tests and test methodologies for scan cell internal faults

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

ISSN:

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Failure Analysis Technology for Advanced Devices

Transactions Brief. Circular BIST With State Skipping

Design of Fault Coverage Test Pattern Generator Using LFSR

An MFA Binary Counter for Low Power Application

Seed Selection in LFSR-Reseeding-Based Test Compression for the Detection of Small-Delay Defects

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for test methods to reduce test set size

Changing the Scan Enable during Shift

Test Point Insertion with Control Point by Greater Use of Existing Functional Flip-Flops

Design for Testability

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

This Chapter describes the concepts of scan based testing, issues in testing, need

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Evaluating BIST Architectures for Low Power

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

High-Frequency, At-Speed Scan Testing

VLSI System Testing. BIST Motivation

I. INTRODUCTION. S Ramkumar. D Punitha

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Testing Digital Systems II

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Efficient Path Delay Testing Using Scan Justification

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

TEST PATTERN GENERATION USING PSEUDORANDOM BIST


Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Slide Set 14. Design for Testability

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Fault Location in FPGA-Based Reconfigurable Systems

VLSI Test Technology and Reliability (ET4076)

At-speed Testing of SOC ICs

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

ECE321 Electronics I

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Testing Digital Systems II

TKK S ASIC-PIIRIEN SUUNNITTELU

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Overview: Logic BIST

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Sharif University of Technology. SoC: Introduction

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Survey of Test Vector Compression Techniques

Deterministic Logic BIST for Transition Fault Testing 1

Lecture 23 Design for Testability (DFT): Full-Scan

DESIGN OF LOW POWER TEST PATTERN GENERATOR

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

Implementation of Low Power and Area Efficient Carry Select Adder

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Transcription:

Submission to VTS 2003 To the reviewer: We are still collecting data from these chips. The blank entries in the tables will be filled in for the final version. Also, we expect to have some additional relevant data. (Testers don't always work when you need them!) Defects vs. Faults Some Data from the ELF35 and Murphy Chips E. J. McCluskey, Ahmad Al-Yamani, James C.-M Li, Chao-Wen Tseng *, Erik Volkerink, Sungroh Yoon, Francois-Fabien Ferhani, Edward Li, and Subhasish Mitra ** Center for Reliable Computing Stanford University http://crc.stanford.edu Abstract This paper compares silicon defects and fault models. It presents data for two test chips, ELF35 and Murphy, from two different technologies. The data shows that, at least for these two designs, the number of faulty chips that escape detection is significantly influenced by the way that faults are modeled. Factors considered include fault coverage, how stuck-at faults are modeled, test set compaction technique, and treatment of don t cares in test set patterns. Data from both chips demonstrate that the single stuck-at fault is not an accurate model for the actual defects present. 1. Introduction We at CRC have designed and LSI Logic has manufactured two test chip designs to investigate the relationship between fabrication defects and fault models. * Chao-Wen Tseng is currently with ZettaCom. ** Subhasish Mitra is currently with Intel. 10/23/2002 1

There are two aspects to this relationship: One aspect is the accuracy of the correspondence between models and defects; the other is the effectiveness of the model when it is used in connection with ATPG or compression or compaction, etc. This paper presents the data that we collected on a tester and compares it with fault model derived data. Accuracy and effectiveness demonstrated by this data are commented on. The two test chips were designed to permit very thorough and varied tests to be applied and the corresponding response data to be collected. The defects that are present on the chips are only those that occurred naturally during fabrication. No artificial defects were inserted. We were interested to compare the results for these two chips from different technologies. Reliability defects are also of interest, but will be discussed in a subsequent paper. The first chip, the Murphy chip, was discussed at ITC2000 along with some of its data, [McCluskey 00]. LSI Logic fabricated the Murphy chip in their LFT150K CMOS gate array technology (Leff = 0.7 µ). It has 25k gates in a 120-pin Ceramic PGA package with 96 signal pins. V dd is 5 volts. Over five thousand chips were tested. This paper presents data for the 116 chips that failed at least one of the 265 test sets applied at 3 supply voltages and 4 test speeds. One objective of this paper is to compare the Murphy data with the data collected on the ELF35 chip, a more recent technology. LSI Logic fabricated the ELF35 chip in their G10P standard cell technology (Leff = 0.35 µ). It has 265k gates in a 272-pin Plastic PGA package with 96 signal pins. V dd is 3.3 volts. Over ten thousand chips were tested. This paper presents data for the 324 chips that failed at least one of the 278 test sets applied at 2 supply voltages and 3 test speeds. The Murphy chip design contains 4 copies each of 5 different very simple completely combinational cores (called Circuits under Test or CUTs in previous publications). Two cores are data path structures and the other 3 are control logic designs. The Murphy chip was designed in collaboration with Bill Farwell and Robert Stokes of Hughes Aircraft 10/23/2002 2

The ELF35 chip design contains multiple copies each of 6 different cores. Two of the cores are sequential data path structures (two different implementations of the 2901 arithmetic processor). The other 4 are combinational (three datapath designs and one translator). This paper concentrates on the combinational core data. Most of our tester data was collected by applying patterns obtained from ATPG programs. The rationale for using many sources of patterns was either to minimize any bias caused by a particular ATPG source or because some tools have capabilities lacking in other tools. We have generated (or tool vendors have donated) various test sets from many academic tools (including Rutgers University, Texas A&M, University of Illinois, University of Iowa, and Stanford CRC) and commercial tools (including Fastscan, Sunrise, Syntest, TestBench, and Tetramax.) 2. Characteristics of the defects Sequence dependence. Since combinational circuits contain no memory elements, the response to a particular input combination should not depend on previous input combinations. The insertion of a single- (or multiple-) stuck-at fault should not cause a combinational circuit to act as a sequential circuit, by exhibiting dependence of its output on previous inputs. Neither should other faults such as nonfeedback bridging faults. We thought it would be interesting to check whether the defects on our chips transformed our combinational logic circuits into sequential circuits. To do this we applied each of our 100% single-stuck-at fault model test sets six times, each time using the same set of patterns but applying them in a different order. Order 1 is that obtained from the ATPG tool, order 2 is the same set of patterns with an all-0 pattern inserted between each pair of original vectors. Order 3 inserts an all-1 pattern instead of the all-0 pattern. Order 4 inserts the bit-wise complement between each pair of patterns. Order 5 inserts a one bit shift between each pair of patterns and order 6 applies the original patterns in the reverse order. 43% of the defective Murphy chips and 42% of the defective ELF35 chips had sequence dependent test responses. Clearly the defects in these chips are not acting This is often taken as the definition of a combinational circuit. 10/23/2002 3

defect. Single stuck-at faults. A bare majority (57%, 58%) of the defects are like single- stuck-at faults. The defects in these chips changed them from combinational circuits to sequential circuits. Naturally, we wondered what kinds of defects were causing this behavior. One possible detect that could do this is one that acts like a Stuck-open fault [Li 02]. By matching the tester traces (response data) to the simulated circuit response in the presence of a particular stuck-open fault Li identified 9 of the 45 Murphy sequence-dependent chips that act as if they contain defects causing such faults, [Li 02]. We have not carried out failure mode analysis to confirm this diagnosis. Another possible defect that could cause sequence-dependent behavior is one that causes a feedback bridging fault. We have not yet succeeded in diagnosing all of the chips with sequence-dependent test responses and are also trying to diagnose the defective Elf35 chips. This study is continuing. Timing-dependent defects. Some of the defective chips with sequencedependent behavior also have output responses that depend on the speed of the test: 105 (32%) of the defective Elf35 chips and 39 (34%) of the defective Murphy chips. Possible causes of such behavior are resistive-opens, connections that have significantly higher resistance than intended or transistors with lower drive than designed for. One of the Murphy chips has been diagnosed as probably having such a combinational defects. They cause the faulty chips to continue to act like combinational circuits. Some of these chips might be modeled as having singlestuck-at fault model faults. To investigate this, we used the same technique of matching tester response data with simulated response; in this case the simulation was for circuits with single stuck-at faults, [Li 02]. Only 15 (5%) of the defective Elf35 chips act like circuits with single- stuck-at faults; more of the defective Murphy chips 41 (35%) behave like they have single-stuck-at faults. The frequency of various defect types present in the Elf35 and Murphy chips is summarized in Fig. 1. This data clearly shows that the single-stuck fault model is This defect inserts a capacitive dynamic memory 10/23/2002 4

not an accurate representation of the behavior of a chip in the presence of a manufacturing defect. This suggests that the stuck-at fault model should not be relied upon in diagnosing defects on faulty chips. On the other hand, the stuck-at fault model has been very effective when used to generate test patterns. The next section discusses using the stuck-at fault model for applications other than diagnosis. Defect Tester Characteristics TIC-nonSSF (25) Murphy 22% Sequence & Timing Dependent (39) 34% TIC-nonSSF (173) ELF35 Sequence & Timing Dependent (105) 32% 53% TIC-SSF (41) 35% 9% Sequence Dependent only (11) 5% 10% TIC-SSF (15) Sequence Dependent only (31) Total 116 defective chips Total 324 defective chips 11 Figure 1. Pie charts for the various defect characteristics. TIC stands for timingindependent combinational, defects that cause neither sequence nor timing dependence 3. The stuck-at fault model This section describes using tester data for screening out defective chips rather than diagnosing defects. Most of the defective chips failed all of the test sets that we applied. We call these FATS or Fail All Test Sets. Out of the 324 defective ELF3 Multiple stuck faults do not avoid the difficulties of the single-stuck fault mode. While there is evidence that some defective chips behave as if they had multiple faults,[ref], there are still the issues of sequence dependence and complexity.. 10/23/2002 5

chips 223 are FATS and the 116 Murphy chips include 91 that fail all the test sets. Some of the test sets applied were not very thorough such as the 50% single stuck-at test set. Only the remaining FOSTS (fail only some test sets) chips are relevant to the study in this section of the effectiveness of various test techniques. Thus, the data presented here excludes the FASTS chips. The most important role of the single stuck-at fault model is as a metric for evaluating the thoroughness of a test set. We will discuss this first and then mention some other applications. Definition. Everyone reading this paper knows what the single stuck-at fault model is; or do we each have our own definition? We would probably all agree that some node in the network is fixed at a logic value (0 or 1) independent of the values of any other nodes in the network. The areas of possible disagreement are: (a) which network representation and (b) which nodes should have fixed values. The network could be represented using the design file made up of gates from the cell library. These library gates typically include the elementary gates: AND, OR, NAND, NOR as well as some complex gates such as XOR gates, multiplexers, full adders, etc. Another possible network representation would use only gates, replacing each complex gate with a network of elementary gates having the same functionality. Thus, at least two different network representations are currently used. The other issue is the set of nodes from which to choose the node with the fixed logic value. The most careful approach is to include all primary inputs, elementary gate inputs, elementary gate outputs and primary outputs. This and other models are listed in Table 1. Some commercial ATPG tools provide an option of deriving this representation. This representation may not correspond precisely to the actual silicon implementation since it isn t always possible to find the correct primitive gate equivalent of a complex gate (the library information may not be exact). 10/23/2002 6

Table 1. List of single stuck-at fault models 1. Gate faults all elementary gate inputs, elementary gate outputs, primary inputs and outputs 2. Pin Faults all library gate inputs, library gate outputs, primary inputs and outputs 3. Gate-output faults all elementary gate outputs (all nets) primary inputs and outputs 4. Pin-output Faults all library gate outputs (all nets) primary inputs and outputs 5. Dominance-reduced faults all inputs and output of fanout-free subnetworks of elementary gates, primary inputs and outputs Models 1 through 4 are each supported by some commercial ATPG tools. There are theoretical results suggesting that Model 5 can be just as effective as Model 1 in generating test patterns [Mei 75]. Test Sets. The way the single stuck-at fault model is used in connection with test pattern generation is by means of a program that attempts to generate input patterns causing the network output with the fault present in the network to differ from the output of the fault-free network. The metric or figure of merit for the set of patterns generated is the single stuck-at fault coverage, the percentage of the modeled faults that are detected by some pattern in the set. Clearly this value depends on which single stuck-at fault model is used. But the real issue is the effectiveness of the model in producing test sets that detect the defects. We investigated this by generating test sets using each of these models, applying them to our faulty Murphy and Elf35 chips, and determining how many faulty chips were not detected by each of the test sets. A closely related issue is what percentage of the single stuck-at faults is detected by the test set, the fault coverage; if the fault coverage is less than 100%, does that mean that more defective chips will escape detection? We generated and applied on the tester several test sets with less than 100% fault coverage. These missed more defective chips than the 100% test sets. This made us wonder whether there was some way to generate a more thorough single stuck-at fault test set. One way to do this is to have a test set in which each single stuck-at fault is detected more than once. This is called an N-detect test set. In a 2-detect test set, each single stuck-at fault is detected by at least two different test patterns [McCluskey 00]. 10/23/2002 7

The data collected by applying patterns with different fault coverages on the tester is shown in Table 2. There is a clear correlation between test thoroughness and test escapes. Table 2. Tester data for various Single stuck-at fault coverages. (a) ELF35 Tools 1C 2C 3C 4C 9C Transition COMB 0 0 0-3 TARO COMB 0 - - - - Path Delay - - 14 - - SSF N-Detect 15 0 - - 3-10 1 - - 2-5 2 - - 1 - Fault Coverage 3 2 - - 4-2 3 - - 5-1.0 2 - - 5 4 0.99 1 - - 5 3 0.95 4 - - 6 6 0.90 9 - - 7 8 0.80 18 - - 28 19 0.50 90 - - 68 79 (b) Murphy Tools 2A 1C 3C 4C 5C 6A Transition - - - 2 - - TARO - 0 - - - - SSF N-Detect 15 0 - - - - - 7 1 - - - - - Fault Coverage 3 0 - - - - - 2 1 - - - - - 1.0 2 9 2 5 5 2 0.99 - - - - - 4 0.98 - - - - - 8 0.95 - - - - - 9 0.90 - - - - - 15 0.80 - - - - - 19 We also applied test patterns generated using both the Gate fault model and the Pin fault model.(table 1). The results are shown in Table 3. They demonstrate that there can be a substantial penalty in the number of test escapes due to using the pin fault model rather than the gate fault model. 10/23/2002 8

Table 3 Escape data for gate fault and pin fault single stuck-at test sets for ELF35: (a) Escapes; (b) Corresponding test lengths (a) Tools 1C 4C 9C 100% Pin 2 5 4 Gate 3 3 2 99% Pin 1 6 3 Gate 2 3 2 95% Pin 4 7 6 Gate 3 3 6 (b) Tools 1C 4C 9C 100% Pin 4193 4068 2360 Gate 4235 4149 2440 99% Pin 3870 3735 3448 Gate 3918 3776 3444 95% Pin 2796 2674 3926 Gate 2836 2709 3997 Test Set Compaction. The number of patterns in a test set, test set size, is another important characteristic; it affects the amount of tester memory and test application time. Reducing the test set size is an important goal, especially if it can be done without sacrificing defective chip detection. Commercial ATPG, automatic test pattern generation, tools typically give the user a choice of (1) dynamic test compaction, (2) static test compaction, or (3) no compaction [Hamzaoglu 00]. These techniques take advantage of the fact that test patterns typically contain a large percentage of unspecified (don t care) bits, [Barnhart 01]. Compaction preserves the fault coverage, but since there are fewer patterns it is possible that the defect detection suffers. This is sometimes discussed by calling the ability of patterns to detect defects that don t correspond to single stuck-at faults collateral coverage and the corresponding faults unmodeled faults. We now know that most of the defects are not accurately represented by single stuck-at fault; thus. most of the defects correspond to unmodeled faults. In any event, it is important to determine whether compaction reduces the ability of the test set to detect defects. We collected data on this by generating and applying to the defective Murphy and Elf35 chips uncompacted, dynamically compacted, statically compacted and both dynamically and statically compacted test sets. The results are shown in Table 4. 10/23/2002 9

Table 4 Comparison of different compaction options: (a) Test escapes for compacted and uncompacted single stuck-at test sets for ELF35; (b) Corresponding test lengths (a) Tools 1C 4C 9C 100% Compacted 3 4 5 Uncompacted 3 3 2 99% Compacted 3 4 4 Uncompacted 2 3 2 95% Compacted 3 7 3 Uncompacted 3 3 6 (b) Tools 1C 4C 9C 100% Compacted 3379 3270 3028 Uncompacted 4235 4149 3997 99% Compacted 3178 3052 2757 Uncompacted 3918 3776 3444 95% Compacted 2412 2392 2126 Uncompacted 2836 2709 2440 A closely related issue is how the don t care bits are assigned values on the tester. Various options were tried and the resulting data is shown in Table 5. Table 5 Comparison of different don t care assignment options LSI2901 TOPS2901 Length #Escpaes Length #Escapes One fill 5215 4 8590 5 Zero fill 5215 7 8590 9 Repeat fill 5215 0 8590 0 Random fill 5215 0 8590 0 10/23/2002 10

4. Conclusions Fault models are used both to identify faulty chips and to diagnose the defect causing failure. For correct diagnosis it is important that the model be accurate. Our data from both of the test chips shows that the single stuck-at fault model is very inaccurate. A more accurate fault model could be useful for diagnosis. On the other hand, the data also agrees with the conclusion that when the single stuck-at fault model is used as a metric it is very effective in generating test patterns. The extension of this model to the transition fault model appears to produce even more effective test patterns. Further extension to the TARO metric [Tseng 01] in which the transitions are propagated to many outputs is even more effective. 5. Acknowledgements This research is supported by LSI Logic Corp, Agilent, Intel, NSF, SRC. We would like to thank Guy Dupenloup, Scott Keller, Prabhu Krishnamurthy for their support. We would like to thank Advantest, Mike Purtell (Advantest), Don Sireci (Advantest), Marc Loranger (Credence), Dr. Sassan Raissi (Digital Testing Services), and Steven Liaw (ARTest) for their donation of tester time. We would like to thank the following persons for their donation of test sets and ATPG tools: Michael Grimaila, Gary Greenstein, Ilker Hamzaoglu, Michael Hsiao, Seiji Kajihara, Rohit Kapur, Ray Mercer, Irith Pomeranz, John Waicucauski, and L.T. Wang. We would also like to thank Nur Touba for his help in the design of BIST. Last but not least, we would like to thank the following people in CRC for their help: Jonathan Chang, Ray Chen, Eddie Cheng, Kan-Yuan Cheng, Yi-Chin Chu, Siyad Ma, Samy Makar, and Sanjay Wattal. 6. References [AMD 83] Advanced Micro Devices, Inc., "Bipolar Microprocessor Logic and Interface Data Book," pp.5-5to 5-27 1983. [Avra 94] Avra, L., Synthesis Techniques for Built-in Self-Testable Designs, Ph.D. Thesis, Stanford University, Stanford, CA, Jul. 1994. [Barnhart 01] K. Barnhart, B. Keller, B. Koenemann, and R. Walther, OPMISR: The Foundation for Compressed ATPG Vectors, Proc. ITC, 2001. [Chang 96]Chang, J., and E.J. McCluskey, Quantitative Analysis of Very-Low-Voltage Testing, VLSI Test Symposium, pp.332-337, 1996. [Chang 98] Chang, J. and et. al., Analysis of Pattern-dependent and Timing-dependent Failures in an Experimental Test Chip, Proc. ITC, 1998. 10/23/2002 11

[Franco 95] Franco, P. and et. al., An Experimental Chip to Evaluate Test Techniques Chip and Experiment Design, Proc. ITC, pp.653-662, 1995. [Hamzaoglu 00] Hamzaoglu, I., and J. Patel, Test set compaction algorithms for combinational circuits, IEEE Trans on CAD, Vol. 19, No. 8, pp. 957-963, Aug. 2000. [Li 99] Li, J.C.M., J.T.-Y. Chang, C.W. Tseng, and E.J. McCluskey, "ELF35 Experiment - Chip and Experiment Design," CRC TR 99-3, Oct. 1999. [Li 02] Li, C.-M.J., and E.J. McCluskey, "Diagnosis of Sequence Dependent Chips," 20th IEEE VLSI Test Symposium (VTS'02), Monterey, CA, Apr. 28-May 2, 2002 [LSI 97] LSI Logic, G-10p Celled Based ASIC Product, Feb. 1997. [Ma 95] Ma, S.C., P. Franco, and E.J. McCluskey, An Experimental Chip To evaluate Test Techniques Experimental Results, Proc. ITC, pp.663-672, 1995. [Mei 75] Mei, K.C.Y., Dominance Relations of Stuck-at and Bridging Faults in Logic Networks, Ph.D. Thesis, Stanford University, Stanford, CA, June 1975. [McCluskey 00] McCluskey, Edward.J. and C.W. Tseng, "Stuck-at Fault versus Actual Defects", Proceeding of International Test Conference, pp.336-344, 2000. [Touba 96] Touba, N., Synthesis Techniques for Pseudo-Random Built-In Self-Test, Ph.D. Thesis, Stanford University, Stanford, CA, June 1996. [Tseng 01] C.W. Tseng and E.J. McCluskey, Multiple-output propagation transition fault test, Proc. ITC, 2001. 10/23/2002 12