SDI II IP Core User Guide

Similar documents
SDI II MegaCore Function User Guide

Intel FPGA SDI II IP Core User Guide

Implementing Audio IP in SDI II on Arria V Development Board

SDI Audio IP Cores User Guide

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

SDI Audio IP Cores User Guide

SDI MegaCore Function User Guide

Serial Digital Interface II Reference Design for Stratix V Devices

JESD204B IP Core User Guide

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

Serial Digital Interface Reference Design for Stratix IV Devices

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

Intel Arria 10 SDI II IP Core Design Example User Guide

Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report

Serial Digital Interface Demonstration for Stratix II GX Devices

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board.

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications

Video and Image Processing Suite User Guide

SMPTE 259M EG-1 Color Bar Generation, RP 178 Pathological Generation, Grey Pattern Generation IP Core AN4087

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

AN 696: Using the JESD204B MegaCore Function in Arria V Devices

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

JESD204B IP Hardware Checkout Report with AD9250. Revision 0.5

Video and Image Processing Suite

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer

LMH0340/LMH0341 SerDes EVK User Guide

AN 776: Intel Arria 10 UHD Video Reference Design

SignalTap Analysis in the Quartus II Software Version 2.0

11. JTAG Boundary-Scan Testing in Stratix V Devices

Laboratory 4. Figure 1: Serdes Transceiver

Partial Reconfiguration IP Core User Guide

White Paper Versatile Digital QAM Modulator

9. Synopsys PrimeTime Support

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

8. Stratix GX Built-In Self Test (BIST)

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU

Single Channel LVDS Tx

Digital Blocks Semiconductor IP

SERIAL DIGITAL VIDEO FIBER OPTIC TRANSPORT & DISTRIBUTION MODULAR SYSTEM FOR HDTV & SDTV

Digital Blocks Semiconductor IP

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

AMD-53-C TWIN MODULATOR / MULTIPLEXER AMD-53-C DVB-C MODULATOR / MULTIPLEXER INSTRUCTION MANUAL

IP LIVE PRODUCTION UNIT NXL-IP55

Commsonic. Multi-channel ATSC 8-VSB Modulator CMS0038. Contact information. Compliant with ATSC A/53 8-VSB

AN1035: Timing Solutions for 12G-SDI

Partial Reconfiguration IP Core

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0.

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016

Commsonic. Satellite FEC Decoder CMS0077. Contact information

Laboratory Exercise 7

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

IQORX30 / IQORX31. Single Mode Fiber Optic Receivers for 3G/HD/SD-SDI Signals

Using SignalTap II in the Quartus II Software

SMPTE-259M/DVB-ASI Scrambler/Controller

CHAPTER 3 EXPERIMENTAL SETUP

Digital Blocks Semiconductor IP

GM69010H DisplayPort, HDMI, and component input receiver Features Applications

IP LIVE PRODUCTION UNIT NXL-IP55 USO RESTRITO. OPERATION MANUAL 1st Edition (Revised 2) [English]

isplever Multi-Rate Serial Digital Interface Physical Layer IP Core User s Guide January 2012 ipug70_01.2

INSTRUCTION MANUAL VF MultiDyne. Harnessing The Power of Light

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

Optical Link Evaluation Board for the CSC Muon Trigger at CMS

Laboratory Exercise 7

SignalTap Plus System Analyzer

Design and Implementation of Nios II-based LCD Touch Panel Application System

Technical Article MS-2714

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

An FPGA Based Solution for Testing Legacy Video Displays

Implementing Triple-Rate SDI with Spartan-6 FPGA GTP Transceivers Author: Reed Tidwell

SPG8000A Master Sync / Clock Reference Generator Release Notes

Model 5240 Digital to Analog Key Converter Data Pack

Synchronization Issues During Encoder / Decoder Tests

HOLITA HDLC Core: Datasheet

FPGA Development for Radar, Radio-Astronomy and Communications

SingMai Electronics PT55. Advanced Composite Video Interface: Encoder IP Core. User Manual. Revision th November 2016

Altera JESD204B IP Core and TI DAC37J84 Hardware Checkout Report

Six-Channel TDM Multiplexers for 3G, HD, SDI, and ASI. Installation and Operations. Manual

DisplayPort 1.4 Link Layer Compliance

quantumdata 980 Series Test Systems Overview of UHD and HDR Support

Sub-LVDS-to-Parallel Sensor Bridge

Model 5250 Five Channel Digital to Analog Video Converter Data Pack

VIODC SDI Demonstration

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Implementing SMPTE SDI Interfaces with Kintex-7 GTX Transceivers Author: John Snow

UG0651 User Guide. Scaler. February2018

GIGA nm Single Port Embeddable Gigabit Ethernet Transceiver. IP embeddability and system development. Main features. Operating conditions

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

JNEye User Guide. 101 Innovation Drive San Jose, CA UG Subscribe Send Feedback

Serial Digital Interface

NVISION Compact Space and cost efficient utility routers

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

Transcription:

SDI II IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 15.1 UG-01125 15.11.02 101 Innovation Drive San Jose, CA 95134 www.altera.com

TOC-2 Contents SDI II IP Core Quick Reference... 1-1 SDI II IP Core Overview...2-1 General Description...2-1 SMPTE372 Dual Link Support...2-2 SMPTE RP168 Switching Support...2-6 SD -Bit Interface for Dual/Triple Standard...2-6 Dynamic TX Clock Switching...2-7 Resource Utilization... 2-9 SDI II IP Core Getting Started...3-1 Installation and Licensing...3-1 Design Walkthrough... 3-2 Creating a New Quartus Prime Project... 3-2 Launching IP Catalog... 3-2 Parameterizing the IP Core... 3-3 Generating a Design Example and Simulation Testbench...3-3 Simulating the SDI II IP Core Design...3-3 Compiling the SDI II IP Core Design... 3-4 Programming an FPGA Device... 3-5 Design Reference...3-5 SDI II IP Core Parameters... 3-6 SDI II IP Core Component Files...3-9 Design Examples... 3-9 Video Pattern Generator Signals... 3-23 Transceiver Reconfiguration Controller Signals... 3-24 Reconfiguration Management Parameters...3-28 Reconfiguration Router Signals... 3-29 SDI II IP Core Functional Description...4-1 Protocol... 4-2 Transmitter... 4-2 Receiver... 4-6 Transceiver...4-12 Submodules...4-14 Insert Line... 4-14 Insert/Check CRC...4-15 Insert Payload ID... 4-15 Match TRS... 4-17 Scrambler... 4-17

TOC-3 TX Sample...4-18 Clock Enable Generator...4-18 RX Sample...4-19 Detect Video Standard... 4-21 Detect 1 and 1/1.001 Rates...4-21 Transceiver Controller... 4-21 Descrambler...4-22 TRS Aligner...4-23 3Gb Demux...4-24 Extract Line...4-24 Extract Payload ID...4-24 Detect Format...4-25 Sync Streams...4-25 Convert SD Bits...4-26 Insert Sync Bits...4-26 Clocking Scheme...4-27 SDI II IP Core Signals...4-27 Transmitter Protocol Signals...4-27 Receiver Protocol Signals...4-31 Transceiver (PHY Management, PHY Adapter, and Hard Transceiver) Signals...4-37 Revision History for SDI II IP Core User Guide... A-1

SDI II IP Core Quick Reference 1 15.11.02 UG-01125 Subscribe The Altera Serial Digital Interface (SDI) II MegaCore function is the next generation SDI intellectual property (IP). The SDI II IP core is part of the MegaCore IP Library, which is distributed with the Quartus Prime software and downloadable from the Altera website at www.altera.com. Note: For system requirements and installation instructions, refer to Altera Software Installation & Licensing. Table 1-1: Brief Information About the SDI II IP Core Item Description Version 15.1 Release Information Release Date November 15 Ordering Code IP-SDI-II Product ID(s) 0111 IP Core Information Vendor ID SDI Data Rate Support 6AF7 270-Mbps SD-SDI, as defined by SMPTE259M specification 1.485-Gbps or 1.4835-Gbps HD-SDI, as defined by SMPTE292M specification 2.97-Gbps or 2.967-Gbps 3G-SDI, as defined by SMPTE424M specification 5.94-Gbps or 5.934-Gbps 6G-SDI, as defined by SMPTEST81 specification 11.88-Gbps or 11.868-Gbps 12G-SDI, as defined by SMPTEST82 specification Dual link HD-SDI, as defined by SMPTE372M specification Dual standard support for SD-SDI and HD-SDI Triple standard support for SD-SDI, HD-SDI, and 3G-SDI Multi standard support for SD-SDI, HD-SDI, 3G-SDI, 6G-SDI, and 12G-SDI 15. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:08 Registered www.altera.com 101 Innovation Drive, San Jose, CA 95134

1-2 SDI II IP Core Quick Reference UG-01125 15.11.02 Item Description Features -bit interface support for SD-SDI Multiple SDI standards and video formats Payload identification packet insertion and extraction Clock enable generator Video rate detection Cyclical redundancy check (CRC) encoding and decoding (except SD) Line number (LN) insertion and extraction (except SD) Word scrambling and descrambling Word alignment Framing and extraction of video timing signals Dual link data stream synchronization (except SD) Transceiver dynamic reconfiguration RP168 support for synchronous video switching Dynamic TX clock switching OpenCore Plus evaluation SMPTE425M level A support (direct source image formatting) SMPTE425M level B support (dual link mapping) Application Digital video equipment Mixing and recording equipment Device Family Support Arria 10 (preliminary), Arria V GX, Arria V GZ, Cyclone V, and Stratix V FPGA device families. Refer to the device support table and What s New in Altera page of the Altera website for detailed information. Design Tools IP Catalog in the Quartus Prime software for design creation and compilation ModelSim -Altera, Riviera-Pro, and VCS/VCS MX software for design simulation or synthesis Related Information Altera Software Installation and Licensing What's New in Altera IP SDI II IP Core Quick Reference

SDI II IP Core Overview 2 15.11.02 UG-01125 Subscribe The SDI II IP core implements a transmitter, receiver, or full-duplex SDI at standard definition (SD), high definition (HD), or 3 gigabits per second (3G) to 12G rate as defined by the Society of Motion Picture and Television Engineers (SMPTE). The SDI II IP core supports dual standard (SD-SDI and HD-SDI), triple standard (SD-SDI, HD-SDI, and 3G-SDI) and multi standard (SD-SDI, HD-SDI, 3G-SDI, 6G-SDI, and 12G-SDI). These modes provide automatic receiver rate detection and transceiver dynamic reconfiguration. The SDI II IP core highlights the following new features: Supports 28 nm devices and beyond. Arria V GX and Stratix V from Quartus II version 12.1 onwards Arria V GZ and Cyclone V from Quartus II version 13.0 onwards Arria 10 from Quartus II version 14.0A10 onwards Improved integration with Altera tools (hw.tcl). IEEE encryption for functional simulation. Dynamic generation of user simulation testbench that matches the IP configuration. Dynamic generation of design example that serves as common entity for simulation and hardware verification. General Description The SMPTE defines a SDI standard that is widely used as an interconnect between equipment in video production facilities. The SDI II IP core can handle the following SDI data rates: 270 megabits per second (Mbps) SD-SDI, as defined by SMPTE259M-1997 10-Bit 4:2:2 Component Serial Digital Interface 1.485 gigabits per second (Gbps) or 1.4835-Gbps HD-SDI, as defined by SMPTE292M-1998 Bit-Serial Digital Interface for High Definition Television Systems 2.97-Gbps or 2.967-Gbps 3G SDI, as defined by SMPTE424M 5.94-Gbps or 5.934-Gbps 6G-SDI, as defined by SMPTEST81 11.88-Gbps or 11.868-Gbps 12G-SDI, as defined by SMPTEST82 Dual link HD-SDI, as defined by SMPTE372M-Dual Link 1.5Gb/s Digital Interface for 19 1080 and 48 1080 Picture Formats Dual standard support for SD-SDI and HD-SDI 15. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:08 Registered www.altera.com 101 Innovation Drive, San Jose, CA 95134

2-2 SMPTE372 Dual Link Support Triple standard support for SD-SDI, HD-SDI, and 3G-SDI Multi standard support for SD-SDI, HD-SDI, 3G-SDI, 6G-SDI, and 12G-SDI SMPTE425M level A support (direct source image formatting) SMPTE425M level B support (dual link mapping) SMPTE RP168 switching support UG-01125 15.11.02 Table 2-1: SDI Standard Support Table below lists the SDI standard support for various FPGA devices. Device Family Arria V GX Arria V GZ Single Standard SDI Standard SD-SDI HD-SDI 3G-SDI Dual Link HD-SDI Dual Standard (up to HD) Multiple Standards Triple Standard (up to 3G) Multi Standard (up to 12G) Yes Yes Yes Yes Yes Yes No Yes Yes Yes Yes Yes Yes No Stratix V Yes Yes Yes Yes Yes Yes No Cyclone V Yes Yes Yes Yes Yes Yes No Arria 10 No Yes Yes Yes No Yes Yes SMPTE372 Dual Link Support Recording studios support HD 1080p format by using a dual-link connection (SMPTE372) from cameras to the mixing and recording equipment. The SMPTE 372 specification defines a way of interconnecting digital video equipment with a dual link HD-SDI, based upon the SMPTE292 specification data structure. The total data rate of the dual link connection is 2.97 Gbps or 2.97/1.001 Gbps. HD-SDI Dual Link to 3G-SDI (Level B) Conversion To interface between a HD-SDI dual link receiver and 3G-SDI single link transmitter equipment, perform a HD-SDI dual link to 3G-SDI (level B) conversion. Level B is defined as 2 SMPTE292 HD-SDI mapping, including SMPTE372 dual link mapping. This conversion takes either two 1.485 Gbps dual link signals or two separate co-timed HD signals and combines them into a single 3G-SDI stream. SDI II IP Core Overview

UG-01125 15.11.02 HD-SDI Dual Link to 3G-SDI (Level B) Conversion 2-3 Figure 2-1: Example of HD-SDI Dual Link to 3G-SDI (Level B) Conversion The figure shows the conversion of two HD-SDI data streams to 3G-SDI (level B) data streams. Data Stream 1 3FFh(C1) 3FFh(Y1) 000h(C1) 000h(Y1) 000h(C1) 000h(Y1) XYZ(C1) XYZ(Y1) LN0(C1) LN0(Y1) LN1(C1) LN1(Y1) Multiplexing Data Stream 2 3FFh(C2) 3FFh(Y2) 000h(C2) 000h(Y2) 000h(C2) 000h(Y2) XYZ(C2) XYZ(Y2) LN0(C2) LN0(Y2) LN1(C2) LN1(Y2) 3G-SDI Level B Interleaved Stream 3FFh(C2) 3FFh(C1) 3FFh(Y2) 3FFh(Y1) 000h(C2) 000h(C1) 000h(Y2) 000h(Y1) XYZ(C2) XYZ(C1) XYZ(Y2) XYZ(Y1) LN0(C2) LN0(C1) LN0(Y2) LN0(Y1) LN1(C2) LN1(C1) LN1(Y2) LN1(Y1) SDI II IP Core Overview

2-4 3G-SDI (Level B) to HD-SDI Dual Link Conversion Figure 2-2: Implementation of HD-SDI Dual Link to 3G-SDI (Level B) Conversion UG-01125 15.11.02 The figure shows a block diagram of HD-SDI dual link to 3G-SDI (level B) conversion. HD Link A HD Link B HD Dual-Link Receiver Transceiver Transceiver rx_clkout (74.25 MHz or 74.175 MHz) Protocol FIFO rxdataa rxdataa rdreq rxdatab Protocol rxdatab rx_clkout_b (74.25 MHz or 74.175 MHz) xcvr_refclk (74.25 MHz or 74.175 MHz) Sync Stream FIFO Divide Clock rdreq rdclk_3gb_div2 = 1H1L1H1L rx_clkin_smpte372 (148.5 MHz or 148.35 MHz) rx_dataout[19:0] rx_clkout rxdataa[19:10] rxdataa[9:0] rx_clkout_b rxdatab[19:10] rxdatab[9:0] Y1 Y1 Y1 Y1 C1 C1 C1 C1 Y2 Y2 Y2 Y2 C2 C2 C2 C2 rx_clkin_smpte372 rdclk_3gb_div2 rx_dataout[19:10] rx_dataout[9:0] C1 Y1 C1 Y1 C1 Y1 C1 Y1 C2 Y2 C2 Y2 C2 Y2 C2 Y2 3G-SDI (Level B) to HD-SDI Dual Link Conversion To interface between 3-Gbps single link receiver and HD-SDI dual link transmitter equipment, perform a 3G-SDI (level B) to HD-SDI dual link conversion. This conversion takes a single 3G-SDI signal and separates the signal into two 1.485 Gbps signals, which can either be a dual link 1080p signal or two separate co-timed HD data streams. SDI II IP Core Overview

UG-01125 15.11.02 3G-SDI (Level B) to HD-SDI Dual Link Conversion 2-5 Figure 2-3: Example of 3G-SDI (Level B) to HD-SDI Dual Link Conversion The figure shows the conversion of 3G-SDI (level B) data to two HD-SDI data streams. 3FFh(C2) 3FFh(C1) 3FFh(Y2) 3FFh(Y1) 000h(C2) 000h(C1) 000h(Y2) 000h(Y1) XYZ(C2) XYZ(C1) XYZ(Y2) XYZ(Y1) LN0(C2) LN0(C1) LN0(Y2) LN0(Y1) LN1(C2) LN1(C1) LN1(Y2) LN1(Y1) 3G-SDI Level B Interleaved Stream Demux Data Stream 1 3FFh(C1) 3FFh(Y1) 000h(C1) 000h(Y1) 000h(C1) 000h(Y1) XYZ(C1) XYZ(Y1) LN0(C1) LN0(Y1) LN1(C1) LN1(Y1) HD-SDI Link A (10-bit) Data Stream 2 3FFh(C2) 3FFh(Y2) 000h(C2) 000h(Y2) 000h(C2) 000h(Y2) XYZ(C2) XYZ(Y2) LN0(C2) LN0(Y2) LN1(C2) LN1(Y2) HD-SDI Link B (10-bit) Figure 2-4: Implementation of 3G-SDI (Level B) to HD-SDI Dual Link Conversion The figure shows a block diagram of 3G-SDI (level B) to HD-SDI dual link conversion. 3-GB Receiver rx_clkout (148.5 MHz or 148.35 MHz) 3-GB Signal Transceiver rxdata Protocol rxdata[19:0] rx_trs 3-GB Demux rx_dataout[19:0] rx_dataout_b[19:0] rdclk_3gb_div2 = 1H1L1H1L FIFO wrreq rx_clkin_smpte372 (74.25 MHz or 74.175 MHz) rx_dataout[19:0] rx_dataout_b[19:0] xcvr_refclk (148.5 MHz or 148.35 MHz) rx_clkout rxdata[19:10] rxdata[9:0] rx_trs C1 Y1 C1 Y1 C1 Y1 C1 Y1 C2 Y2 C2 Y2 C2 Y2 C2 Y2 rx_clkout rx_trs rx_clkdiv2 rx_dataout[19:10] rx_dataout[9:0] rx_dataout_b[19:10] rx_dataout_b[9:0] rx_clkin_smpte372 Y1 Y1 Y1 Y1 C1 C1 C1 C1 Y2 Y2 Y2 Y2 C2 C2 C2 C2 SDI II IP Core Overview

2-6 SMPTE RP168 Switching Support SMPTE RP168 Switching Support UG-01125 15.11.02 The SMPTE RP168 standard defines the requirements for synchronous switching between two video sources to take place with minimal interference to the receiver. The RP168 standard has restrictions for which lines the source switching can occur. The SDI II IP core has flexibility and does not restrict you to switch at only a particular line defined in the RP168 standard. You can perform switching at any time between different video sources as long as the source has similar standard and format. After switching, all the status output signals, including the rx_trs_locked, rx_frame_locked, and rx_align_locked signals, remain unchanged. You should not see any interrupts at downstream. SD -Bit Interface for Dual/Triple Standard For a common SD interface, the serial data format is 10 bits wide, whereas for HD or 3G, the data format is bits wide, divided into two parallel 10-bit datastreams (known as Y and C). To make the interface bit width common for all standards in the dual standard or triple standard SDI mode: The receiver can extract the data and align them in -bit width The transmitter can accept SD data in -bit width and retransmit them successfully The timing diagrams below show a comparison of data arrangement between 10-bit and -bit interface. Figure 2-5: SD 10-Bit Interface rx_clkout(148.5 MHz) rx_dataout[19:10] rx_dataout[9:0] rx_dataout_valid Don t Care Cb Y Cr Y Cb The upper 10 bits of rx_dataout are insignificant data. The lower 10 bits of rx_dataout are Luma (Y) and chroma (Cb, Cr) channels (interleaved). The 1H 4L 1H 5L cadence of rx_dataout_valid repeats indefinitely (ideal). Figure 2-6: SD -Bit Interface rx_clkout(148.5 MHz) rx_dataout[19:10] Y Y Y rx_dataout[9:0] Cb Cr Cb rx_dataout_valid The upper 10 bits of rx_dataout are Luma (Y) channel and the lower 10 bits are Chroma (Cb, Cr) channel. The 1H 10L cadence of rx_dataout_valid repeats indefinitely (ideal). SDI II IP Core Overview

UG-01125 15.11.02 Dynamic TX Clock Switching 2-7 Dynamic TX Clock Switching The dynamic TX clock switching feature allows you to dynamically switch between NTSC and PAL transceiver data rates for all video standards except SD-SDI. The dynamic TX clock switching enables an SDI video equipment to operate on NTSC or PAL. You can choose to switch the TX clocks through one of these two methods: Instantiate an alternate TX PLL and supply two different clocks to the two PLLs. Switch between the primary PLL and the alternate PLL for transmission. Use the primary PLL with two reference input clocks. The PLL switches between these two clocks for transmission. To implement this feature, you are required to provide two reference clocks (xcvr_refclk and xcvr_refclk_alt) to the SDI II IP core. The frequency of the reference clocks must be assigned to 148.5 MHz and 148.35 MHz in any assignment order. The TX PLL select signal (ch1_{tx/du}_tx_pll_sel) is an input control signal that you provide to the core and the transceiver reconfiguration controller to select the desired clock input for the hard transceiver. Set ch1_{tx/du}_tx_pll_sel to 0 to select xcvr_refclk Set ch1_{tx/du}_tx_pll_sel to 1 to select xcvr_refclk_alt To dynamically switch between the two reference clocks, you need to implement a simple handshaking mechanism. The handshake is initiated when the reconfiguration request signal (ch1_{tx/ du}_tx_start_reconfig) is asserted high. This signal must remain asserted until the reconfiguration process completes. The reconfiguration process completes when the reconfiguration done signal (ch1_{tx/du}_tx_reconfig_done) is asserted high. The TX PLL select signal (ch1_{tx/ du}_tx_pll_sel) needs to be stable throughout the reconfiguration process. To complete the handshaking process, you must deassert the reconfiguration request signal (ch1_{tx/ du}_tx_start_reconfig) upon assertion of the reconfiguration done signal (ch1_{tx/ du}_tx_reconfig_done). The dynamic TX clock switching only takes effect after the tx_rst is asserted high and deasserted low accordingly. SDI II IP Core Overview

2-8 Dynamic TX Clock Switching Figure 2-7: Hardware Implementation of the Dynamic TX Clock Switching Feature UG-01125 15.11.02 The figure shows the TX clock switching feature with two TX PLLs. SDI TX (All Video Standard Modes except SD-SDI) Reset (tx_rst) Parallel Video In (tx_datain and tx_datain_b for HD-SDI Dual Link) TX Protocol TX PHY Management Transceiver PHY Reset Controller Primary Reference Clock (xcvr_refclk) Alternative Reference Clock (xcvr_refclk_alt) PLL Locked Cal Busy TX PLL Select PHY Adapter Analog Reset Digital Reset PLL Powerdown Altera Transceiver TX Transceiver Channel TX PLL0 TX PLL1 TX Clock Out (tx_clkout) (148.5 or 148.35 MHz) SDI Out (sdi_tx and sdi_tx_b for HD-SDI Dual Link) Tx PLL Switching Handshaking Signals TX PLL Select (ch1_{tx/du}_tx_pll_sel) Reconfiguration Request (ch1_{tx/du}_tx_start_reconfig) Reconfiguration Acknowledge (ch1_{tx/du}_tx_reconfig_done) Reconfiguration Management Avalon-MM Control Interface Transceiver Reconfiguration Controller Reconfiguration Router Legend Altera PHY IP Core Data Control/Status Clock Reset Figure 2-8: Dynamic TX Clock Switching Timing Diagram xcvr_refclk xcvr_refclk_alt tx_rst ch1_{tx/du}_tx_pll_sel ch1_{tx/du}_tx_start_reconfig ch1_{tx/du}_tx_reconfig_done tx_clkout 148.5 MHz 148.35 MHz 148.5 MHz 148.35 MHz 148.5 MHz 148.35 MHz Case 1 Case 2 Case 3 The table below describes the behavior of the dynamic switching feature when you initiate a handshaking process (with reference to the timing diagram). SDI II IP Core Overview

UG-01125 15.11.02 Resource Utilization 2-9 Table 2-2: Dynamic Switching Behavior During a Handshaking Process Case Description 1 The handshaking process attempts to switch to select xcvr_refclk_alt. tx_clkout successfully locks to xcvr_refclk_alt (148.35 MHz). 2 The handshaking process attempts to switch to select xcvr_refclk. tx_clkout successfully locks to xcvr_refclk (148.5 MHz). 3 The handshaking process attempts to switch to select xcvr_refclk_alt. The switching fails because ch1_{tx/du}_tx_pll_sel changes from 1 to 0 prior to the assertion of ch1_{tx/ du}_tx_start_reconfig. Therefore tx_clkout is still locked to xcvr_refclk (148.5MHz). Resource Utilization The tables below list the typical resource utilization for the SDI II IP core with the Quartus Prime software, version 15.1. Note: The resource utilization data was obtained by using the most common configurations for each video standard and from one specific family device. Table 2-3: Resource Utilization for Each Video Standard (Arria V, Cyclone V, and Stratix V Devices) Standard ALM Needed Primary Logic Registers Secondary Logic Registers Block Memory Bits SD-SDI TX 95 126 12 0 SD-SDI RX 498 618 34 60 HD-SDI TX 139 161 8 0 HD-SDI RX 549 812 68 0 HD Dual Link TX 450 475 41 0 HD Dual Link RX 1,262 1,919 166 4,608 3G-SDI TX 439 416 12 0 3G-SDI RX 852 1,324 100 0 Dual Rate TX 250 237 6 0 Dual Rate RX 932 1,186 73 0 Triple Rate TX 504 530 12 0 Triple Rate RX 1,095 1,573 113 0 Table 2-4: Resource Utilization for Each Video Standard (Arria 10 Devices) Standard ALM Needed Primary Logic Registers Secondary Logic Registers Block Memory Bits HD-SDI TX 109 123 16 0 HD-SDI RX 527 740 65 0 SDI II IP Core Overview

2-10 Resource Utilization UG-01125 15.11.02 Standard ALM Needed Primary Logic Registers Secondary Logic Registers Block Memory Bits HD Dual Link TX 371 411 51 0 HD Dual Link RX 1,4 1,795 142 4,608 3G-SDI TX 405 380 14 0 3G-SDI RX 8 1,257 110 0 Triple Rate TX 494 490 15 0 Triple Rate RX 1,068 1,514 91 0 Multi Rate (Up to 12G- SDI) TX Multi Rate (Up to 12G- SDI) RX 1,853 1,738 28 0 3,886 4,744 305 0 SDI II IP Core Overview

SDI II IP Core Getting Started 3 15.11.02 UG-01125 Subscribe Installation and Licensing To evaluate the SDI II IP core using the OpenCore Plus feature, follow these steps in your design flow: 1. Install the SDI II IP core. 2. Create a custom variation of the SDI II IP core. 3. Implement the rest of your design using the design entry method of your choice. 4. Use the IP functional simulation model to verify the operation of your design. 5. Compile your design in the Quartus Prime software. You can also generate an OpenCore Plus timelimited programming file, which you can use to verify the operation of your design in hardware. Note: For more information on IP functional simulation models, refer to the Simulating Altera Designs chapter in volume 3 of the Quartus Prime Handbook. The default installation directory for the SDI II IP core on Windows is c:\altera\<version>; on Linux, it is / opt/ altera<version>. You can obtain a license for the IP core only when you are completely satisfied with its functionality and performance, and want to take your design to production. After you purchase a license for the SDI II IP core, follow these steps: 1. Set up licensing. 2. Generate a programming file for the Altera device or devices on your board. 3. Program the Altera device or devices with the completed design. Related Information Introduction to Altera IP Cores Provides general information about all Altera IP cores, including parameterizing, generating, upgrading, and simulating IP. Creating Version-Independent IP and Qsys Simulation Scripts Create simulation scripts that do not require manual updates for software or IP version upgrades. Project Management Best Practices Guidelines for efficient management and portability of your project and IP files. Simulating Altera Designs 15. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:08 Registered www.altera.com 101 Innovation Drive, San Jose, CA 95134

3-2 Design Walkthrough UG-01125 15.11.02 Design Walkthrough This walkthrough explains how to create an SDI II IP core design using the Quartus Prime software and IP Catalog. After you generate a custom variation of the SDI II IP core, you can incorporate it into your overall project. This walkthrough includes the following steps: 1. Creating a New Quartus Prime Project on page 3-2 2. Launching IP Catalog on page 3-2 3. Parameterizing the IP Core on page 3-3 4. Generating a Design Example and Simulation Testbench on page 3-3 5. Simulating the SDI II IP Core Design on page 3-3 Creating a New Quartus Prime Project Before you begin You need to create a new Quartus Prime project with the New Project Wizard, which specifies the working directory for the project, assigns the project name, and designates the name of the top-level design entity. To create a new project, perform the following the steps. 1. From the Windows Start menu, select Programs > Altera > Quartus Prime <version> to run the Quartus Prime software. Alternatively, you can use the Quartus Prime Web Edition software. 2. On the File menu, click New Project Wizard. 3. In the New Project Wizard: Directory, Name, Top-Level Entity page, specify the working directory, project name, and top-level design entity name. Click Next. 4. In the New Project Wizard: Add Files page, select the existing design files (if any) you want to include in the project. (1) Click Next. 5. In the New Project Wizard: Family & Device Settings page, select the device family and specific device you want to target for compilation. Click Next. 6. In the EDA Tool Settings page, select the EDA tools you want to use with the Quartus Prime software to develop your project. 7. The last page in the New Project Wizard window shows the summary of your chosen settings. Click Finish to complete the Quartus Prime project creation. Launching IP Catalog To launch the IP Catalog in the Quartus Prime software, follow these steps: 1. On the Tools menu, click IP Catalog. 2. Expand the Interface Protocols> Audio & Video folder and double-click SDI II to launch the parameter editor. (1) To include existing files, you must specify the directory path to where you installed the SDI II IP core. You must also add the user libraries if you installed the MegaCore IP Library in a different directory from where you installed the Quartus Prime software. SDI II IP Core Getting Started

UG-01125 15.11.02 Parameterizing the IP Core 3-3 The parameter editor prompts you to specify your IP variation name, optional ports, architecture features, and output file generation options. The parameter editor generates a top-level.qsys or.qip file representing the IP core in your project. 3. Click OK to display the SDI II IP core parameter editor. Parameterizing the IP Core To parameterize your IP core, follow these steps: 1. Select the video standard. 2. Select Bidirectional, Transmitter, or Receiver interface direction. 3. Select Combined Transceiver and Protocol, Separate Transceiver or Separate Protocol, (for Arria V, Cyclone V, and Stratix V devices only). 4. Turn on the necessary transceiver options, (for Arria V, Cyclone V, and Stratix V devices only). 5. Turn on the necessary receiver options. Some options may be grayed out, because they are not supported in the currently selected configuration. 6. Turn on the necessary transmitter options. Some options may be grayed out, because they are not supported in the currently selected configuration. 7. Select the example design options, (if you are generating the design example for Arria 10 devices). 8. Click Finish. Related Information SDI II IP Core Parameters on page 3-6 Generating a Design Example and Simulation Testbench After you have parameterized the IP core, click Generate Example Design to create the following entities: design example serves as a common entity for simulation and hardware verification. simulation testbench consists of the design example entity and other non-synthesizable components. The example testbench and the automated script are located in the <variation name>_example/ simulation/verilog or <variation name>_example/simulation/vhdl directory. Note: Generating a design example can increase processing time. You can now integrate your custom IP core variation into your design, simulate, and compile. Simulating the SDI II IP Core Design After design generation, the files located in the <variation name>_example/simulation/verilog or <variation name>_example/simulation/vhdl directory are available for you to simulate your design. The SDI II IP core supports the following EDA simulators listed in the table below. Table 3-1: Supported EDA Simulators Simulator Supported Platform Supported Language ModelSim-SE Windows/Linux VHDL and Verilog HDL SDI II IP Core Getting Started

3-4 Timing Violation UG-01125 15.11.02 Simulator Supported Platform Supported Language ModelSim-Altera Windows/Linux Verilog VCS/VCS MX Windows/Linux Verilog Aldec Riviera-PRO Linux Verilog To simulate the design using the ModelSim-SE or ModelSim-Altera simulator, follow these steps: 1. Start the simulator. 2. On the File menu, click Change Directory > Select <variation name>_example_design/simulation/ verilog/mentor (for Verilog HDL language) or _example_design/simulation/vhdl/mentor (for VHDL language). 3. Run the provided run_sim.tcl script. This file compiles the design and runs the simulation automatically. It provides a pass/fail indication on completion. To simulate the design using the VCS/VCS MX simulator (in Linux), follow these steps: 1. Start the VCS/VCS MX simulator. 2. On the File menu, click Change Directory > Select <variation name>_example_design/sdi_ii/ simulation/verilog/synopsys. 3. Run the provided run_vcs.sh (in VCS) or run_vcsmx.sh (in VCSMX) script. This file compiles the design and runs the simulation automatically. It provides a pass/fail indication on completion. To simulate the design using the Aldec Riviera-PRO simulator, follow these steps: 1. Start the Aldec Riviera-PRO simulator. 2. On the File menu, click Change Directory > Select <variation name>_example_design/sdi_ii/ simulation/verilog/aldec. 3. Run the provided run_riviera.tcl script. This file compiles the design and runs the simulation automatically. It provides a pass/fail indication on completion. Timing Violation After you create a new project, the Quartus Prime software generates a Quartus Prime Settings File (.qsf). Add the following assignments to.qsf to avoid timing violation from the synchronizers. set_instance_assignment -name GLOBAL_SIGNAL OFF -to * altera_reset_synchronizer:alt_rst_sync_uq1 altera_reset_synchronizer_int_chain_out Compiling the SDI II IP Core Design To compile your design, click Start Compilation on the Processing menu in the Quartus Prime software. You can use the generated.qip file to include relevant files into your project. You can find the design examples of the SDI II IP core in the <variation name>_example_design/ example_design/<variation name>_example_design directory. For the design example illustrations, refer to the Design Examples section. SDI II IP Core Getting Started

UG-01125 15.11.02 Programming an FPGA Device 3-5 Note: To create a new project using the generated design example, follow the steps in the Creating a New Quartus Prime Project section and add the design example.qip file in step 4. Related Information Creating a New Quartus Prime Project on page 3-2 Design Examples on page 3-9 Each design example provided with the SDI II IP core is synthesizable. Quartus Prime Help More information about compilation in Quartus Prime software. Programming an FPGA Device After successfully compiling your design, program the targeted Altera device with the Quartus Prime Programmer and verify the design in hardware. For instructions on programming the FPGA device, refer to the Device Programming section in volume 3 of the Quartus Prime Handbook. Related Information Device Programming Design Reference This section describes the SDI II IP core parameters, signals, and files to help you configure your design. This section includes detailed description about the SDI II IP core design examples. SDI II IP Core Getting Started

3-6 SDI II IP Core Parameters SDI II IP Core Parameters UG-01125 15.11.02 Table 3-2: SDI II IP Core Parameters Parameter Value Description Video standard SD-SDI, HD-SDI, 3G-SDI, HD-SDI dual link, Dual rate (up to HD- SDI), Triple rate (up to 3G-SDI), Multi rate (up to 12G-SDI) Sets the video standard. SD-SDI disables option for line insertion and extraction, and CRC generation and extraction HD-SDI enables option for in line insertion and extraction and CRC generation and extraction Dual, triple or multi rate SDI includes the processing blocks for both SD-SDI and HD- SDI standards. Logics for bypass paths and to automatically switch between the input standards are included. Note: SD-SDI and Dual rate (up to HD- SDI) options are not available for Arria 10 devices. Multi rate (up to 12G-SDI) option is not available for Arria V, Cyclone V, and Stratix V devices. Configuration Options SD interface bit width 10, Selects the SD interface bit width. Only applicable for dual standard and triple standard. Direction Transceiver and/ or Protocol Birectional, Receiver, Transmitter Combined, Transceiver, Protocol Sets the port direction. The selection enables or disables the receiver and transmitter supporting logic appropriately. Bidirectional instantiates both the SDI transmitter and receiver. Receiver instantiates the SDI receiver Transmitter instantiates the SDI transmitter. Selects the components. Transceiver includes tx/rx_phy_mgmt/phy_ adapter and hard transceiver. This option is useful if you want to use the same transceiver component to support both SDI and ASI IP cores. Protocol. Note: This option is available only for Arria V, Cyclone V, and Stratix V devices. SDI II IP Core Getting Started

UG-01125 15.11.02 SDI II IP Core Parameters 3-7 Parameter Value Description Transceiver Options (2) Dynamic Tx clock switching Transceiver reference clock frequency Off, Tx PLLs switching, Tx PLL reference clocks switching 148.5/148.35 MHz, 74.25/74.175 MHz, Off: Disable dynamic switching Tx PLLs switching: Instantiates two PLLs, each with a reference input clock Tx PLL reference clocks switching: Instantiates a PLL with two reference input clocks. Note: This option is not available if you select ATX PLL. Turn on this option to allow dynamic switching between 1 and 1/1.001 data rates. Note: This option is only available for TX or bidirectional ports, and all video standards except SD-SDI. Selects the transceiver reference clock frequency. The 74.25/74.175 MHz option is available only for HD-SDI and HD-SDI dual link video standards, and if you select CMU as the TX PLL. TX PLL type CMU, ATX Selects the transmitter PLL for TX or bidirectional ports. ATX PLL is useful for bidirectional channels you can use the ATX PLL as the transmitter PLL instead of the CMU PLL from another channel. ATX PLL is only available in the Stratix V and Arria V GZ families Receiver Options Increase error tolerance level On, Off On: Error tolerance level = 15 Off: Error tolerance level = 4 Turn on this option to increase the error tolerance level for consecutive missed end of active videos (EAVs), start of active videos (SAVs), or erroneous frames. CRC error output On, Off On: CRC monitoring (Not applicable for SD- SDI mode) Off: No CRC monitoring (saves logic) (2) These options are available only for Arria V, Cyclone V, and Stratix V devices. SDI II IP Core Getting Started

3-8 SDI II IP Core Parameters UG-01125 15.11.02 Parameter Value Description Transmitter Options Extract Payload ID (SMPTE 352M) Convert HD-SDI dual link to 3G- SDI (level B) Convert 3G-SDI (level B) to HD- SDI dual link Insert payload ID (SMPTE 352M) On, Off On: Extract payload ID Off: No payload ID extraction (saves logic) It is compulsory to turn on this option for 3G- SDI, HD SDI dual link, and triple standard modes. The extracted payload ID is required for consistent detection of the 1080p format. On, Off On: Converts to level B (2 SMPTE 292M HD-SDI mapping, including SMPTE 372M dual link mapping) for HD-SDI dual link receiver output. Off: No conversion This option is only available for HD-SDI dual link receiver. On, Off On: Converts to HD-SDI dual link (direct image format mapping) for 3G-SDI receiver output. Off: No conversion This option is only available for 3G-SDI receiver. On, Off On: Insert payload ID Off: No payload ID insertion (saves logic) TX PLL type CMU, ATX Sets the transmitter PLL type for transmit and bidirectional ports. ATX PLL is useful for bidirectional channels you can use the ATX PLL as the transmitter PLL instead of the CMU PLL from another channel. Example Design Options (3) Dynamic Tx clocks switching Off, Tx PLLs switching, Tx PLL reference clocks switching Off: Disable dynamic switching Tx PLLs switching: Instantiates two PLLs, each with a reference input clock Tx PLL reference clocks switching: Instantiates a PLL with two reference input clocks. Turn on this option to allow dynamic switching between 1 and 1/1.001 data rates. Note: This option is only available for TX or bidirectional ports, and all video standards except SD-SDI. (3) These options are available only for Arria 10 devices. SDI II IP Core Getting Started

UG-01125 15.11.02 SDI II IP Core Component Files 3-9 SDI II IP Core Component Files Table 3-3: Generated Files Table below describes the generated files and other files that might be in your project directory. The names and types of files vary depending on whether you create your design with VHDL or Verilog HDL. Extension Description <variation name>.v or.sv <variation name>.sdc <variation name>.qip <variation name>.tcl An IP core variation file, which defines a Verilog HDL description of the custom IP core. Instantiate the entity defined by this file inside your design. Contains timing constraints for your SDI variation. Contains Quartus Prime project information for your IP core variations. Add this file in your Quartus Prime project before you compile your design in the Quartus Prime software. Tcl script file to run in Quartus Prime software. Design Examples Each design example provided with the SDI II IP core is synthesizable. Design Examples for Arria 10 Devices The figure below illustrates the generated design example entity and simulation testbench for Arria 10 devices. This design example consists of two SDI channels, a video pattern generator, a reconfiguration controller, and a loopback path. The IP core configures the device under test (DUT) block according to your parameterization. For example, if you choose to generate an SDI RX, the software instantiates an SDI TX block to serve as a video source. The loopback block (SDI duplex) is always instantiated in the design example for parallel loopback demonstrations. The PHY adapter in the generated example design is not included in the figure below so that you can observe how the signals are physically connected without the adapter. You may bypass the adapter in your own design to make the design simpler. For Arria 10 devices, the transceiver is no longer wrapped inside the IP core, and the TX PLL is no longer wrapped inside the Transceiver PHY. You must generate these blocks separately in the example design. SDI II IP Core Getting Started

3-10 Design Examples for Arria 10 Devices Figure 3-1: Design Example Entity and Simulation Testbench for Arria 10 Devices UG-01125 15.11.02 Testbench Example Design Ch0 RX Transceiver Reset Controller Loopback Path Ch0 Loopback (SDI TX + RX) Arria 10 Native PHY (Duplex) Ch0 Duplex Transceiver Reconfiguration Controller Ch0 TX PLL Ch0 TX Transceiver Reset Controller Ch1 RX Transceiver Reconfiguration Controller Ch1 RX Transceiver Reset Controller RX Checker Ch1 Test (SDI RX) Arria 10 Native PHY (RX) TX Checker Test Control Pattern Generator Ch1 DUT (SDI TX) Arria 10 Native PHY (TX) Ch1 TX Transceiver Reconfiguration Controller Ch1 TX PLL Ch1 TX Transceiver Reset Controller Data Control SDI II IP Core Arria 10 Native PHY IP Core Transceiver PHY Reset Controller IP Core Arria 10 Transceiver CMU/ATX PLL IP Core SDI II IP Core Getting Started

UG-01125 15.11.02 Design Examples for Arria 10 Devices 3-11 This design generates two transceiver PHY reset controllers one for TX and one for RX. These reset controllers are connected to the transceiver to control the reset sequence. The PHY adapter controls the rx_manual and rx_is_lockedtodata input signals of the reset controller. If you want to bypass the PHY adapter, you must copy the assignment of these input signals in the sdi_ii_phy_adapter.v file to your design. The table below describes how you should connect the input signals. Table 3-4: Connecting Input Signals rx_manual Input Signal rx_is_lockedtodata Connection Connect this signal to the rx_ready port of the PHY reset controller to avoid any disturbance from short interference after the receiver is locked. Connect this signal to an output from a multiplexer between rx_is_ lockedtoref and rx_is_lockedtodata ports from the transceiver, with the rx_set_locktoref acting as the selector. The receiver operates in locktoref mode when it receives SD video data. rx_is_lockedtodata is not stable in this mode. Note: The Transceiver Reconfiguration Controller that was used in the design examples for Arria V, Cyclone V, and Stratix V devices are not applicable for Arria 10 devices. The reconfiguration interface is now integrated into the transceiver. Each transceiver should pair with a reconfiguration controller if it requires reconfiguration. The Arria 10 Transceiver Native PHY IP core provides the following SDI presets that you can apply to your design. Table 3-5: SDI Presets in the Arria 10 Native PHY IP Core Presets Description SDI 3G NTSC Preset for 3G-SDI single rate (TX and RX) and triple rate TX Set for SDI data rate factor of 1/1.001 Configured in Duplex mode You may change the direction based on your design needs. SDI 3G PAL Preset for 3G-SDI single rate (TX and RX) and triple rate TX Set for SDI data rate factor of 1/1 Configured in Duplex mode You may change the direction based on your design needs. SDI HD NTSC Preset for HD-SDI single rate and HD-SDI dual link (TX and RX) Set for SDI data rate factor of 1/1.001 Configured in Duplex mode You may change the direction based on your design needs. SDI II IP Core Getting Started

3-12 Design Examples for Arria V, Cyclone V, and Stratix V Devices UG-01125 15.11.02 Presets Description SDI HD PAL Preset for HD-SDI single rate and HD-SDI dual link (TX and RX) Set for SDI data rate factor of 1/1 Configured in Duplex mode You may change the direction based on your design needs. SDI Multi rate (up to 12G) Rx Preset for multi rate up to 12G-SDI (RX) Contains multiple profiles for HD-SDI, 3G-SDI, 6G-SDI, and 12G- SDI for dynamic reconfiguration SDI Multi rate (up to 12G) Tx Preset for multi rate up to 12G-SDI (TX) Configured in data rate of 11,880 Mbps Change the data rate to 11,868 Mbps to transmit with data rate factor of 1/1.001 SDI Triple rate Rx Preset for triple rate up to 3G-SDI (RX) Contains multiple profiles for HD-SDI and 3G-SDI for dynamic reconfiguration Design Examples for Arria V, Cyclone V, and Stratix V Devices Figure below illustrates the generated design example entity and simulation testbench for Arria V, Cyclone V, and Stratix V devices. This design example consists of a video pattern generator, transceiver reconfiguration controller, reconfiguration management, loopback path, and various SDI blocks occupying two transceiver channels. SDI II IP Core Getting Started

UG-01125 15.11.02 Design Examples for Arria V, Cyclone V, and Stratix V Devices 3-13 Figure 3-2: Design Example Entity and Simulation Testbench Testbench Design Example Loopback Path Ch0 Loopback (SDI Duplex) Transceiver Reconfiguration Controller Reconfiguration Management/Router RX Checker Ch1 Test (SDI RX) Test Control Video Pattern Generator Ch1 DUT (SDI TX) TX Checker Data SDI II IP Core Control SDI II IP Core Getting Started

3-14 Design Examples for Arria V, Cyclone V, and Stratix V Devices Figure 3-3: Design Example Entity and Simulation Testbench for HD-SDI Dual Link to 3G-SDI (Level B) Conversion UG-01125 15.11.02 The figure below illustrates the generated design example entity and simulation testbench when you generate HD-SDI dual link receiver with Convert HD-SDI dual link to 3G-SDI (level B) option enabled. Testbench Design Example Loopback Path Ch0 Loopback (SDI Duplex) Test Control Transceiver Reconfiguration Controller Video Pattern Generator Loopback Path Reconfiguration Management/Router Ch1 Test (HD DL SDI TX) Ch1 DUT (HD DL SDI RX) A to B Ch2 Test (3-Gb SDI TX) RX Checker Ch2 Test (3-Gb SDI RX) TX Checker Data SDI II IP Core Control SDI II IP Core Getting Started

UG-01125 15.11.02 Design Example Components 3-15 Figure 3-4: Design Example Entity and Simulation Testbench for 3G-SDI (Level B) to HD-SDI Dual Link Conversion The figure below illustrates the generated design example entity and simulation testbench when you generate 3G-SDI or triple rate SDI receiver with Convert 3G-SDI (level B) to HD-SDI dual link option enabled. Testbench Design Example Loopback Path Ch0 Loopback (SDI Duplex) Test Control Transceiver Reconfiguration Controller Video Pattern Generator Loopback Path Reconfiguration Management/Router Ch1 Test (3-Gb SDI TX) Ch1 DUT (3-Gb SDI RX) B to A Ch2 Test (HD DL SDI TX) RX Checker Ch2 Test (HD DL SDI RX) TX Checker Data SDI II IP Core Control Design Example Components The Arria V, Cyclone V, and Stratix V design examples for the SDI II IP core consist of the following components: Video pattern generator Transceiver reconfiguration controller Reconfiguration management Reconfiguration router SDI II IP Core Getting Started

3-16 Video Pattern Generator The Arria 10 design example for the SDI II IP core consists of the following components: Video pattern generator Transceiver reconfiguration controller UG-01125 15.11.02 Video Pattern Generator The video pattern generator generates a colorbar or pathological pattern. The colorbar is preferable for image generation while the pathological pattern can stress the PLL and cable equalizer of the attached video equipment. You can configure the video pattern generator to generate various video formats. Table 3-6: Configuring the Video Pattern Generator to Generate Different Video Formats Table below lists the examples of how to configure the video pattern generator signals to generate a video format that you desire. Example Example 1: Generate 1080i video format Example 2: Generate 1080p video format Video Format Interface Signal pattgen_tx_std pattgen_tx_format pattgen_dl_mapping 1080i60 HD-SDI 2'b01 4'b0100 1'b0 1080i60x2 HD-SDI dual link 2'b01 4'b0100 1'b0 3Gb 2'b10 4'b0100 1'b0 1080p30 HD-SDI 2'b01 4'b1100 1'b0 1080p30x2 1080p60 HD-SDI dual link HD-SDI dual link Related Information Video Pattern Generator Signals on page 3-23 2'b01 4'b1100 1'b0 2'b01 4'b1100 1'b1 3Ga 2'b11 4'b1100 1'b0 3Gb 2'b10 4'b1100 1'b1 Transceiver Reconfiguration Controller The transceiver reconfiguration controller reconfigures the transceivers. The transceiver reconfiguration controller in the Arria V, Cyclone V, and Stratix V design examples and the Arria 10 design example is used differently. Related Information Transceiver Reconfiguration Controller Signals on page 3-24 Modifying the Transceiver Reconfiguration Controller on page 3- Transceiver Reconfiguration Controller for Arria 10 For Arria 10 design examples, the reconfiguration interface is integrated into the Arria 10 Native PHY instance and TX PLL. Each transceiver and PLL contains an Avalon-MM reconfiguration interface that must be connected to this reconfiguration controller user logic. SDI II IP Core Getting Started

UG-01125 15.11.02 Transceiver Reconfiguration Controller for Arria V, Cyclone V, and... 3-17 Transceiver Reconfiguration Controller for Arria V, Cyclone V, and Stratix V For Arria V, Cyclone V, and Stratix V design examples, the transceiver reconfiguration controller allows you to change the device transceiver settings at any time. Any portion of the transceiver can be selectively reconfigured. Each portion of the reconfiguration requires a read-modify-write operation (read first, then write), in such a way by modifying only the appropriate bits in a register and not changing other bits. Prior to this operation, you must define the logical channel number and the streamer module mode. You can perform a transceiver dynamic reconfiguration in these two modes: streamer module mode 1 (manual mode) execute a series of Avalon Memory-Mapped (Avalon- MM) write operation to change the transceiver settings. In this mode, you can execute a write operation directly from the reconfiguration management/router interface to the device transceiver registers. streamer module mode 0 use the.mif files to change the transceiver settings. For read operation, after defining the logical channel number and the streamer module mode, the following sequence of events occur: 1. Define the transceiver register offset in the offset register. 2. Read the data register. Toggle the read process by setting bit 1 of the control and status register (CSR) to logic 1. 3. Once the busy bit in the CSR is cleared to logic 0, it indicates that the read operation is complete and the required data should be available for reading. For write operation, after setting the logical channel number and the streamer module mode, the following sequence of events occur: 1. Define the transceiver register offset (in which the data will be written to) in the offset register. 2. Write the data to the data register. Toggle the write process by setting bit 0 of the CSR to logic 1. 3. Once the busy bit in the CSR is cleared to logic 0, it indicates that the transceiver register offset modification is successful. For more information about the transceiver reconfiguration controller streamer module, refer to the Transceiver Reconfiguration Controller IP Core Overview chapter of the Altera Transceiver PHY IP Core User Guide. Related Information Altera Transceiver PHY IP Core User Guide More information about the transceiver reconfiguration controller streamer module. Reconfiguration Management The reconfiguration management block (sdi_ii_ed_reconfig_mgmt.v and sdi_ii_reconfig_logic.v) contains the reconfiguration user logic (a finite state machine) to determine the bits that needs to be modified, and selects the correct data to be written to the appropriate transceiver register through streamer module mode 1. It also provides handshaking between the SDI receiver and the transceiver reconfiguration controller. In this design, each reconfiguration block must interface with only one transceiver reconfiguration controller. During the reconfiguration process, the logic first reads the data from the transceiver register that needs to be reconfigured and stores the data temporarily in a local register. Then, the logic overwrites only the appropriate bits of the data with predefined values and write the modified data to the transceiver register. SDI II IP Core Getting Started