EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

Similar documents
University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011

EECS150 - Digital Design Lecture 15 Finite State Machines. Announcements

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

CS3350B Computer Architecture Winter 2015

Digital Circuits ECS 371

Logic Design. Flip Flops, Registers and Counters

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing

CS61C : Machine Structures

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Synchronous Sequential Logic

Introduction to Sequential Circuits

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

ECE 341. Lecture # 2

Unit 11. Latches and Flip-Flops

CS61C : Machine Structures

Why FPGAs? FPGA Overview. Why FPGAs?

ELCT201: DIGITAL LOGIC DESIGN

CS61C : Machine Structures

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

FPGA Design with VHDL

D Latch (Transparent Latch)

UC Berkeley CS61C : Machine Structures

Combinational vs Sequential

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Logic Design II (17.342) Spring Lecture Outline

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Experiment 8 Introduction to Latches and Flip-Flops and registers

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger.

Chapter 3 Unit Combinational

Chapter. Synchronous Sequential Circuits

ELCT201: DIGITAL LOGIC DESIGN

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Review C program: foo.c Compiler Assembly program: foo.s Assembler Object(mach lang module): foo.o. Lecture #14

CS61C : Machine Structures

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states.

Go BEARS~ What are Machine Structures? Lecture #15 Intro to Synchronous Digital Systems, State Elements I C

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

LATCHES & FLIP-FLOP. Chapter 7

Lecture 8: Sequential Logic

More Digital Circuits

Engr354: Digital Logic Circuits

Sequential Circuits: Latches & Flip-Flops

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Administrative issues. Sequential logic

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

Flip-Flops and Registers

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

Last time, we saw how latches can be used as memory in a circuit

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Chapter 11 Latches and Flip-Flops

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

Sequential Elements con t Synchronous Digital Systems

Module 4:FLIP-FLOP. Quote of the day. Never think you are nothing, never think you are everything, but think you are something and achieve anything.

ELE2120 Digital Circuits and Systems. Tutorial Note 7

CHAPTER 1 LATCHES & FLIP-FLOPS

INTRODUCTION TO SEQUENTIAL CIRCUITS

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee

CS8803: Advanced Digital Design for Embedded Hardware

MC9211 Computer Organization

11. Sequential Elements

Chapter 5 Sequential Circuits

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

EECS150 - Digital Design Lecture 3 - Timing

Sequential Design Basics

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

6. Sequential Logic Flip-Flops

Modeling Latches and Flip-flops

CHAPTER1: Digital Logic Circuits

Clocks. Sequential Logic. A clock is a free-running signal with a cycle time.

Basis of sequential circuits: the R-S latch

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Latches, Flip-Flops, and Registers. Dr. Ouiem Bchir

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Sequential Circuit Design: Part 1

Rangkaian Sekuensial. Flip-flop

ECE 545 Digital System Design with VHDL Lecture 1B. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks

Spring 2017 EE 3613: Computer Organization Chapter 5: The Processor: Datapath & Control - 1

Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops

ECE321 Electronics I

Lecture 11: Sequential Circuit Design

Logic Design II (17.342) Spring Lecture Outline

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

CHAPTER 4: Logic Circuits

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

Review of digital electronics. Storage units Sequential circuits Counters Shifters

CMSC 313 Preview Slides

Modeling Latches and Flip-flops

Flip-flop and Registers

Other Flip-Flops. Lecture 27 1

Transcription:

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150 Fall 2011 EECS150 Lecture 3 Page 1 Announcements Discussion sessions start tomorrow Homework #1 will be posted later tonight Due next Thurs. Fall 2011 EECS150 Lecture 3 Page 2 1

Outline Topics in the review, you have already seen in CS61C, and possibly EE40: 1. Digital Signals. 2. General model for synchronous systems. 3. Combinational logic circuits 4. Flip-flops, clocking Fall 2011 EECS150 Lecture 3 Page 3 Only Two Types of Circuits Exist Combinational Logic Blocks (CL) State Elements (registers) State elements are mixed in with CL blocks to control the flow of data. Address Input Data Write Control Output Data clock Register file or Memory Block Sometimes used in large groups by themselves for long-term data storage. Fall 2011 EECS150 Lecture 3 Page 4 2

State Elements: circuits that store info Examples: registers, memories Register: Under the control of the load signal, the register captures the input value and stores it indefinitely. input n load register n output often replace by clock signal (clk) The value stored by the register appears on the output (after a small delay). Until the next load, changes on the data input are ignored (unlike CL, where input changes change output). These get used for short term storage (ex: register file), and to help move data around the processor. Fall 2011 EECS150 Lecture 3 Page 5 Register Details What s inside? n instances of a Flip-Flop Flip-flop name because the output flips and flops between and 0,1 D is data, Q is output Also called d-type Flip-Flop Fall 2011 EECS150 Lecture 3 Page 6 3

Flip-flop Timing Waveforms? Edge-triggered d-type flip-flop This one is positive edge-triggered On the rising edge of the clock, the input d is sampled and transferred to the output. At all other times, the input d is ignored. Example waveforms: Fall 2011 EECS150 Lecture 3 Page 7 Building a Flip-Flop Out Latches Fall 2011 EECS150 Lecture 3 Page 8 4

Why Do We Need Clocks & Sequential Elements At All? Fall 2011 EECS150 Lecture 3 Page 9 Accumulator Circuit Example Suppose X is a vector of N integers presented to the input of our accumulator circuit one at-a-time and at a certain rate. S should hold the sum of all N numbers after they have been presented to the input. Fall 2011 EECS150 Lecture 3 Page 10 5

Accumulator Implementation #1 Under what conditions does this circuit work as desired? Fall 2011 EECS150 Lecture 3 Page 11 Accumulator Implementation #2 Now under what conditions does this circuit work? Fall 2011 EECS150 Lecture 3 Page 12 6

Real Reason For Clocks The register prevents the new value from reaching the input to the adder too quickly New value just waits at the input of the register I.e., clocks + state elements ensure the coherent flow of information between CL blocks But note, they always do this by slowing down the fast signals (No free lunch) Fall 2011 EECS150 Lecture 3 Page 13 Register Details (again) A n-bit wide register is nothing but a set of flip-flops (1-bit wide registers) with a common load/clk signal. A flip-flop captures its input on the edge of the clock (rising edge in this case - positive edge flip-flop). The new input appears at the output after a short delay. Fall 2011 EECS150 Lecture 3 Page 14 7

d clk FF q Flip-Flop Timing Details Three important times associated with flip-flops: setup time hold time clock-to-q delay. Fall 2011 EECS150 Lecture 3 Page 15 Accumulator Revisited Note: Reset signal (synchronous) Timing of X signal is not known without investigating the circuit that supplies X. Here we assume it comes just after S i-1. Observe transient behavior of S i. Fall 2011 EECS150 Lecture 3 Page 16 8

Pipelining to improve performance (1/2) Timing Note: delay of 1 clock cycle from input to output. Clock period limited by propagation delay of adder + shifter. Fall 2011 EECS150 Lecture 3 Page 17 Pipelining to improve performance (2/2) Insertion of register allows higher clock frequency. More outputs per second. Timing Fall 2011 EECS150 Lecture 3 Page 18 9

Flip-flops on Virtex5 FPGA SLICEM SLICEL Four flip-flops per each of the 17,280 slices in an LX110T. Other flip-flops in the chip input/output cells, and in the form of registers in the DSP slices and memory block interfaces. Fall 2011 EECS150 Lecture 3 Page 19 Virtex5 Slice Flip-flops 4 flip-flops / slice (corresponding to the 4 6-LUTs) Each takes input from LUT output or primary slice input. Edge-triggered FF vs. level-sensitive latch. Clock-enable input (can be set to 1 to disable) (shared). Positive versus negative clock-edge. Synchronous vs. asynchronous reset. SRHIGH/SRLOW select reset (SR) set. REV forces opposite state. INIT0/INIT1 used for global reset (not shown - usually just after power-on and configuration). Fall 2011 EECS150 Lecture 3 Page 20 10

Virtex5 Flip-Flop Primitives Fall 2011 EECS150 Lecture 3 Page 21 11