Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Similar documents
Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Power Reduction Approach by using Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

A Survey on Post-Placement Techniques of Multibit Flip-Flops

Figure.1 Clock signal II. SYSTEM ANALYSIS

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

DUE to the popularity of portable electronic products,

Power Optimization by Using Multi-Bit Flip-Flops

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

QDR SRAM DESIGN USING MULTI-BIT FLIP-FLOP M.Ananthi, C.Sathish Kumar 1. INTRODUCTION In memory devices the most

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

An FPGA Implementation of Shift Register Using Pulsed Latches

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

A Low Power Delay Buffer Using Gated Driver Tree

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

P.Akila 1. P a g e 60

Flip-flop Clustering by Weighted K-means Algorithm

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

D Latch (Transparent Latch)

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Low Power Area Efficient Parallel Counter Architecture

Reduction of Area and Power of Shift Register Using Pulsed Latches

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

A Power Efficient Flip Flop by using 90nm Technology

Implementation of High Speed & Low Power Approach by Designing Multi-Bit Flip-Flops

A Low-Power CMOS Flip-Flop for High Performance Processors

Minimization of Power for the Design of an Optimal Flip Flop

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Partial Bus Specific Clock Gating With DPL Based DDFF Design

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

Low Power D Flip Flop Using Static Pass Transistor Logic

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

II. ANALYSIS I. INTRODUCTION

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

LFSR Counter Implementation in CMOS VLSI

Power-Aware Placement

Current Mode Double Edge Triggered Flip Flop with Enable

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

A REVIEW OF FLIP-FLOP DESIGNS FOR LOW POWER VLSI CIRCUITS

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

ADVANCES in NATURAL and APPLIED SCIENCES

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

ISSN Vol.08,Issue.24, December-2016, Pages:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

ECE321 Electronics I

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Dual Slope ADC Design from Power, Speed and Area Perspectives

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Transcription:

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India Abstract Power reduction plays a vital role in VLSI design. Multi-bit flip-flop is an efficient method for clock power reduction. This method is to eliminate the redundant inverters by merging some flip-flops into multi-bit flip-flops. This multi-bit flip-flops can share the drive strength, dynamic power, area of the inverter chain and can even save the clock network power and facilitate the skew control. Firstly flip-flops that can be merged are identified based on synchronous clock signal and then a combination table is built to define the possible combination of flip-flops and finally a hierarchical way is used to merge flip-flops. Index Terms Dynamic power, multi-bit flip-flop, merging, redundant inverters I. INTRODUCTION In modern IC design, the power consumption of the clock network plays a major role in whole design especially for scaled CMOS technologies. The clock distribution network consumes the 75% of thermal power which is the major contributor to the dynamic power of the chip due to its highest switching rate. Nowadays, reducing the power consumption is a tedious process in IC fabrication. In design, the power consumption can be optimized by using several methodologies such as minimizing clock networks [1], creating multi-supply-voltage (MSV) designs and using multibit registers. In this paper, the concept of multi-bit flip-flops (MBFFs) are used to reduce the power consumption of the clock network connected to the flip-flops. However, to apply MBFFs in early design, it is difficult to consider the trade-off among power and timing. Therefore, the power consumption of flip-flops is reduced by applying MBFFs at the post placement stage [2]-[5]. Multi-bit flip-flop Multi-bit flip-flop is defined as the merging of multiple single bit flip-flops. Thus a single bit flip-flop has two latches called master latch and slave latch. Figure 1: Single bit Flip-Flop These latches need the clock signal to perform the operation. Figure 1 shows an example of single bit flip-flop. In order to provide better delay from clk_q, two inverters are used in the clock path signal. Thus the clock signal is regenerated from clock. By merging several single bit flipflops total inverters in the circuit are reduced, hence the power consumption and area also reduced. Figure 2 shows an example of merging two 1-bit flip-flops into 2-bit flip-flop. Thus each 1-bit flip-flop contains two inverters called master latch and slave latch. Figure 2: Example of merging two 1-bit flip-flops into 2-bit flip-flop Due to the manufacturing rules, the size of the inverters tends to be oversized. As the process technology progresses, the minimum size of clock buffers can drive more than one flip-flop. Thus merging single bit flip-flops into multi-bit flipflop can avoid the redundant inverters and lower the clock power consumption. Thus the total area of the circuit is also 37

reduced. By using this method in ASIC design, following benefits are provided. Duplicate inverters are avoided Total area of flip-flop can be reduced Power reduction through shared inverters Clock skew can be controlled because of the common clock signal Based on the driving strength of the minimum sized inverter on given rising or falling time, the driving capability of a clock buffer can be calculated. The paper is organized as follows. Section II discusses design flow of flip-flop merging and Section III discusses power optimization technique. Section IV gives the simulation result with discussion of clock power reduction. II. DESIGN FLOW Transformation of Placement Space The feasible placement region of each and every flip-flop can be identified with the help of Manhattan distance as shown in the figure 4. In Manhattan routing model, the collection of points within a fixed distance is called Manhattan circular region whose boundary is composed of to line segments with the slope +1 and two line segments -1. Thus the feasible location region of 1-bit flip-flop is obtained by the intersection of two Manhattan circular regions. Then the legal placement region of new flip-flop can be identified by the overlapped area of several regions of the flip-flop. However the placement region of the flip-flop is in diamond shape, it is difficult to identify the overlapped regions of the flip-flops. Therefore the overlapped area can be identified more easily if the coordinate system of cells is transformed to get rectangular regions. Thus the transformation of placement space is the easiest way to identify overlapped region of the flip-flops. Design flow has three consecutive steps to minimize the power consumption of clock network and also avoid the wastage of time in finding the impossible combination of flipflops. As shown in the figure 3 the first step is transformation of placement space which is used to identify the overlapped area of flip-flops. Then the flip-flops that are going to be merged are easily identified. The second step is build combination table which is used to avoid time wasting in finding impossible combination of flip-flops. Start Transformation of Placement Space Build combination table Merge flip-flops Figure 4: Feasible location region for 1-bit flip-flop The feasible location region of the new flip-flop is obtained by the overlapping region of several flip-flops. Figure 5 shows an example of overlapping region of two flip-flops (f1 and f2). Then the new flip-flop is used to replace the flip-flops f1 and f2. Stop Figure 3: Design Flow The combination table is used to provide the possible combination of flip-flops which are going to be merged. The third step is flip-flop merging. In this step, the chips are divided into the several bins and flip-flops are merged in different bin. This step is repeated until all the possible flipflops are merged. Figure 5: overlapping region of f1 and f2 38

The overlapping region of flip-flops f1 and f2 are in diamond shape. Hence it is difficult to identify the overlapping region because if the shapes are diamond, four coordinates are required to obtain the overlapping region. In order to overcome this, each segment is rotated by 450, and then the shapes of all the regions become rectangular. In such condition, only two coordinates are required to obtain the overlapping regions. Figure 6: Rotating the diamond shapes by 450 As shown in the figure 6, the rectangular regions are obtained by rotating the legal placement region of the flipflops f1 and f2 by 450. Build Combination table If several flip-flops are replaced by the new flip-flop, it is necessary to ensure that the new flip-flop is provided by the library L. In that case, the combination table is built which defines the possible combinations of flip-flops to get new flipflops before replacement. 1 4 Figure 7(a): Initialize the library and corresponding binary tree is built for each combination in T The library is initialized to store the possible combination of flip-flops in digital circuits as shown in the figure 7(a). Then the combination table is built to identify the flip-flops which are going to be merged. Additional flip-flops are also added in library. Figure 7(b): Pseudo types are added in the library and corresponding binary tree As shown in the figure 7(b), the pseudo types (1-bit and 2- bit) are added into the library. Then the corresponding binary tree is constructed for each kind of flip-flop. For 4-bit flip-flop, two combinations are possible with that only one combination is used based on the feasible location. If there is any unused combination, then it will be deleted from the table. Merge Flip-Flops The Combination table is used to merge the flip-flops. In order to reduce the complexity, the whole placement region of the circuit is divided into several regions and the combination table is used to merge the flip-flops in each subregion. Then, these subregions are combined to form large subregion and flip-flops are merged again so that the flip-flops in near subregions are merged further. There are several steps to merge flip-flops. They are Divide the chip into regions Replace flip-flops in each subregion Subregions are combined and replace flip-flops De-replace and replace flip-flops After de-replacing, the replacement of flip-flops is done according to the combination table T without considering the combinations which corresponds to the pseudo type in library L. The core is to seek the suitable combinations of flip-flops 39

continuously until it finds the optimized solutions from all possibilities. Thus the procedure of flip-flop merging depends on the combination table, which continuously records the combination among the flip-flop types. power wastage through the use of multiple clock sinks. Figure 9 shows the combination table is built for merging the flipflops. Figure 10 shows the flip-flop merging output. III. POWER OPTIMIZATION TECHNIQUE The approach called clock gating will be used to reduce the power consumption and also increases the number of flipflops to be merged. The clock gating technique is used to avoid unnecessary power consumption like the power wasted by timing components when the system is in idle state. Specifically for flip-flops, clock gating means disabling the clock signal when the input data does not alter the stored data. It can be applied where the entire functional unit is set into sleep mode. Each clock input of a flip-flop can be disabled individually, yielding maximum clock separation and results in high overhead. Thus the clock disabling circuit is shared by a group of several flip-flops in an attempt to reduce the overhead. By clock gating technique, clock to an idle portion is disabled, thus avoiding power dissipation due to unnecessary charging and discharging of the unused circuit. In this technique, clock is selectively stopped for the portion of the circuit which is not performing any active computation. An example of a gated clock is shown in the figure 8.In this figure, the power consumption is reduced by inserting the and gate before the latch. Figure 9: Merging flip-flop count Figure 8: Clock gating implementation in latch Figure 10: Flip-Flop merging output The flip-flops are merged based on the clock synchronization. Initially, we identify the flip-flops which are having same clock phase signal. Then those flip-flops are merged to reduce the number of inverters and hence the clock power is reduced. Due to the reduction in number of inverters, total area also reduced. The table I shows the power consumed by the flip-flops in different Stages. After flip-flop merging, some flip-flops are in ideal stages. Those flip-flops are also consumes the power. III. RESULT ANALYSIS The concept is implemented using verilog language and executed by Quartus II IDE with Modelsim simulator. The flip-flops are merged in order to reduce the unnecessary TABLE I. POWER ANALYSIS Parameters Power (mw) Before Merging 539.25 After Merging 105.12 Clock Gating 72.93 40

Clock gating is used to block the clock signal which is consumed by the ideal flip-flops. Hence the power consumption is further reduced IV. CONCLUSION In this paper, the flip-flops are merged based on the combination table. The process of identifying the mergeable flip-flops and building a combination table, in which the possible combinations of flip-flops are merged, are executed. This multi-bit flip-flops will reduce the unnecessary power wastage and total area of the digital circuits. Additional contribution of this paper is the number of flip-flops to be merged is increased using pulse width modulation by adjusting the width of the clock pulse. V. REFERENCES [1] Y. Cheon, P.-H. Ho, A. B. Kahng, S. Reda, and Q. Wang, Poweraware placement, in proc. Design Autom. Conf., pp. 795-800, Jun 2005. [2] Y.-T. Chang, C.-C. Hsu, P.-H. Lin, Y.-W. Tsai, and S.-F. Chen, Postplacement power optimization with multi-bit flip-flops, in proc. IEEE/ACM Compt.-Aided Design Int. Conf., San Jose, CA, pp. 218-223, Nov. 2010. [3] J.T. Yan, Z.W. Chen, Construction of constrained multi-bit flip-flops for clock power reduction, in Green Circuits and Systems Int. Conf., pp. 675-678, 2010. [4] Zhi-Wei Chen, Jin-Tai Yan, Utilization of Multi-Bit Flip-Flops for Clock Power Reduction, IEEE Conference on Circuits and Systems, pp.677-680,2012. [5] P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L. Allmon, High-performance microprocessor design, IEEE Solid- State Circuits, vol.33, no. 5, pp. 676 686, May 1998. A.Abinaya received her B.E degree in Dhanalakshmi Srinivasan Engineering College, Perambalur. Her area of interest is VLSI, Digital Electronics. She is currently pursuing her M.E degree in VLSI design in M.Kumarasamy College of Engineering, Karur, and Tamilnadu, India V.Priya received her B.E degree in Kongu Engineering College, Perundurai. Her area of interest is VLSI, ASIC design. She is currently pursuing her M.E degree in VLSI design in M.Kumarasamy college of Engineering, Karur, and Tamilnadu, India 41