ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

Similar documents
ECE 263 Digital Systems, Fall 2015

Flip-flop and Registers

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

Class 06 Sequential Logic: Flip-Flop

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Class 19 Sequential Logic: Flip-Flop

Digital Fundamentals: A Systems Approach

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

VLSI DESIGN LAB (EE-330-F) VI SEMESTER. Electrical and Electronics Engineering

Asynchronous (Ripple) Counters

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops


VHDL 4 BUILDING BLOCKS OF A COMPUTER.

Feedback Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

UNIVERSITI TEKNOLOGI MALAYSIA

Chapter 8 Registers & Counters

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department

Design Problem 4 Solutions

VeriLab. An introductory lab for using Verilog in digital design (first draft) VeriLab

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Logic Design II (17.342) Spring Lecture Outline

Lecture 8: Sequential Logic

Counter dan Register

RS flip-flop using NOR gate

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

download instant at

Flip-Flops and Registers

ECE 3401 Lecture 12. Sequential Circuits (II)

Logic Design. Flip Flops, Registers and Counters

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

CprE 281: Digital Logic

Last time, we saw how latches can be used as memory in a circuit

Outputs Combinational circuit. Next state. Fig. 4-1 Block Diagram of a Sequential Circuit

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Synchronous Sequential Logic

ECE337 Lab 4 Introduction to State Machines in VHDL

Lab 3: VGA Bouncing Ball I

Experiment 8 Introduction to Latches and Flip-Flops and registers

RS flip-flop using NOR gate

MODULE 3. Combinational & Sequential logic

Unit-5 Sequential Circuits - 1

STATIC RANDOM-ACCESS MEMORY

Registers and Counters

ASYNCHRONOUS COUNTER CIRCUITS

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Universal Asynchronous Receiver- Transmitter (UART)

CS 261 Fall Mike Lam, Professor. Sequential Circuits

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

Figure 1 Block diagram of a 4-bit binary counter

Level and edge-sensitive behaviour

Counters

DIGITAL SYSTEM DESIGN VHDL Coding for FPGAs Unit 7

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

AIM: To study and verify the truth table of logic gates

Chapter 2. Digital Circuits

Other Flip-Flops. Lecture 27 1

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Registers and Counters

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

IS1500 (not part of IS1200) Logic Design Lab (LD-Lab)

Laboratory Exercise 7

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

Clocks. Sequential Logic. A clock is a free-running signal with a cycle time.

Registers and Counters

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science SOLUTIONS

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

Question Bank. Unit 1. Digital Principles, Digital Logic

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

Unit 11. Latches and Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee

Multiplexor (aka MUX) An example, yet VERY useful circuit!

Sequential Circuits: Latches & Flip-Flops

Chapter 3 Unit Combinational

CprE 281: Digital Logic

4:1 Mux Symbol 4:1 Mux Circuit

Chapter 1: Switching Algebra Chapter 2: Logical Levels, Timing & Delays. Introduction to latches Chapter 9: Binary Arithmetic

EEE2135 Digital Logic Design Chapter 6. Latches/Flip-Flops and Registers/Counters 서강대학교 전자공학과

CS/EE Homework 6

1. Convert the decimal number to binary, octal, and hexadecimal.

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Sequential Design Basics

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

Registers, Register Transfers and Counters Dr. Fethullah Karabiber

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

DIGITAL ELECTRONICS MCQs

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

CHAPTER 1 LATCHES & FLIP-FLOPS

Transcription:

ACS College of Engineering Department of Biomedical Engineering HDL pre lab questions (2015-2016) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the difference b/w HDL and software language? 5. Define Entity and architecture? 6. Define identifiers. 7. A basic 2-input logic circuit has a HIGH on one input and a LOW on the other input, and the output is HIGH. What type of logic circuit is it? 8. Write the sum and carry expression for half and full adder. Cycle-2 1.Define mux and demux. 2. Write their applications. 3. What is the relationship b/w input lines and select lines. 4. Design 4:1 mux and 1:4 demux. 5. write brief notes on case statement. 6. Write the difference b/w if and while statement 7.What is difference b/w encoder and data selector. 8. What is the difference b/w decoder and data distributor. 9. Give the applications of encoder and decoder. 10. Write short notes on with select statement.

Cycle-3 1. Describe the main difference between a gated S-R latch and an edge-triggered S-R flip-flop. 2. How does a JK flip-flop differ from an SR flip-flop in its basic operation? 3. Describe the basic difference between pulse-triggered and edge-triggered flip-flops. 4. What is use of characteristic and excitation table? 5. What are synchronous and asynchronous circuits? 6. How many flip flops due you require storing the data 1101? 7. What is propagation delays set up time and hold time? 8. How to generate clock signal in VHDL? 9. What are the different wait statements?

Cycle-4 1.How does synchronous counter differ from asynchronous counter? 2. How many flip-flops do you require to design Mod-6 counter. 3. What are the different types of counters? 4. What are the different types of shift registers? 5. How many f/fs are needed for n-bit counter? 6. What is meant by universal shift register? 7. What is ALU? 8. What are the operations can be done by using ALU? 9. What are the predefined Attributes?

ACS College of Engineering Department of Biomedical Engineering HDL Lab pre lab questions (2016-2017) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the difference b/w HDL and software language? 5. Define Entity and architecture? 6. Define identifiers. 7. A basic 2-input logic circuit has a HIGH on one input and a LOW on the other input, and the output is HIGH. What type of logic circuit is it? 8. Write the sum and carry expression for half and full adder. 9. Briefly explain the behavioral model? 10. Expand IEEE? 11.What is significance of library 1164? Cycle-2 1.Define mux and demux and Write their applications. 2. What is the relationship b/w input lines and select lines. 3. Design 4:1 mux and 1:4 demux. 4. write brief notes on case statement. 5. Write the difference b/w if and while statement 6.What is difference b/w encoder and data selector. 7. What is the difference b/w decoder and data distributor.

8. Give the applications of encoder and decoder. 9. Write short notes on with select statement. 10. Explain signal assignment statements? 11. Write syntax for Loop statement. Cycle-3 1. Describe the main difference between a gated S-R latch and an edge-triggered S-R flip-flop. 2. How does a JK flip-flop differ from an SR flip-flop in its basic operation? 3. Describe the basic difference between pulse-triggered and edge-triggered flip-flops. 4. What are synchronous and asynchronous circuits? 5. How many flip flops due you require storing the data 1101? 6. What is propagation delays set up time and hold time? 7. How to generate clock signal in VHDL? 8. What are the different wait statements? 9.write characteristic expression for JK F/F?

Cycle-4 1. How does synchronous counter differ from asynchronous counter? 2. How many flip-flops do you require to design Mod-8 counter. 3. What are the different types of counters? 4. What are the different types of shift registers? 5. What is meant by universal shift register? 6. What is ALU? 7. What are the operations can be done by using ALU? 8. What are the predefined Attributes? 9.Explain cathode seven segment display? Content beyond the syllabus: 1) Write a verilog program for 4:16 decoder? 2) Write VHDL structural program for 2:4 decoders?

1) Write a verilog program for 4:16 decoder? module decoder_4x16 (d_out, d_in); output [15:0] d_out; input [3:0] d_in; parameter tmp = 16'b0000_0000_0000_0001; assign d_out = (d_in == 4'b0000)? tmp : (d_in == 4'b0001)? tmp<<1: (d_in == 4'b0010)? tmp<<2: (d_in == 4'b0011)? tmp<<3: (d_in == 4'b0100)? tmp<<4: (d_in == 4'b0101)? tmp<<5: (d_in == 4'b0110)? tmp<<6: (d_in == 4'b0111)? tmp<<7: (d_in == 4'b1000)? tmp<<8: (d_in == 4'b1001)? tmp<<9: (d_in == 4'b1010)? tmp<<10: (d_in == 4'b1011)? tmp<<11: (d_in == 4'b1100)? tmp<<12: (d_in == 4'b1101)? tmp<<13: (d_in == 4'b1110)? tmp<<14: (d_in == 4'b1111)? tmp<<15: 16'bxxxx_xxxx_xxxx_xxxx; endmodule 2) Write VHDL structural program for 2:4 decoders? entity Decoder_2to4 is port( A0, A1: in std_logic; D0, D1, D2, D3 : out std_logic); end Decoder_2to4; -- architecture func of Decoder_2to4 is component andgate is --import AND Gate entity port( A, B : in std_logic; F : out std_logic); end component; component notgate is --import NOT Gate entity port( inport : in std_logic; outport : out std_logic); end component;

signal invout0, invout1 : std_logic; begin GI1: notgate port map(a0, invout0); GI2: notgate port map(a1, invout1); GA1: andgate port map(invout1, invout0, D0); GA2: andgate port map(invout1, A0, D1); GA3: andgate port map(a1, invout0, D2); GA4: andgate port map(a1, A0, D3); end func;

ACS College of Engineering Department of Biomedical Engineering HDL Lab pre lab questions (2017-2018) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the difference b/w HDL and software language? 5. Define Entity and architecture? 6. Define identifiers. 7. A basic 2-input logic circuit has a HIGH on one input and a LOW on the other input, and the output is HIGH. What type of logic circuit is it? 8. Briefly explain the behavioral model? 9. Expand IEEE? Cycle-2 1.Define mux and demux and Write their applications. 2. What is the relationship b/w input lines and select lines. 3. write brief notes on case statement. 4. Write the difference b/w if and while statement 5.What is difference b/w encoder and data selector. 6. What is the difference b/w decoder and data distributor. 7. Write short notes on with select statement. 8. Explain signal assignment statements? 9. Write syntax for Loop statement.

Cycle-3 1. Describe the main difference between a gated S-R latch and an edge-triggered S-R flip-flop. 2. How does a JK flip-flop differ from an SR flip-flop in its basic operation? 3. Describe the basic difference between pulse-triggered and edge-triggered flip-flops. 4. What are synchronous and asynchronous circuits? 5. What is propagation delays set up time and hold time? 6. How to generate clock signal in VHDL? 8.write characteristic expression for JK F/F? Cycle-4 1. How does synchronous counter differ from asynchronous counter? 2. How many flip-flops do you require to design Mod-8 counter. 3. What are the different types of counters? 4. What are the different types of shift registers? 5. What is meant by universal shift register? 7. What are the operations can be done by using ALU? 8. What are the predefined Attributes? 9.How speed and direction of stepper motor can be controlled? Content beyond the syllabus: 1) Write HDL code to generate different waveform Sawtooth using DAC change the frequency and amplitude. 2) Write VHDL structural program for 4:1 MUX?

1)Write HDL code to generate different waveform Sawtooth using DAC change the frequency and amplitude. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity sawtooth is port (clk : in std_logic; wave_out : out std_logic_vector(7 downto 0); reset :in std_logic ); end sawtooth; architecture Behavioral of sawtooth is signal count : integer := 0; begin process(clk,reset) begin if(reset = '1') then count <= 0; elsif(rising_edge(clk)) then if(count = 255) then count <= 0; else count <= count + 1; end if; end if; end process; wave_out <= conv_std_logic_vector(count,8); end Behavioral;

3) Write VHDL structural program for 2:1 MUX? library IEEE; use IEEE.STD_LOGIC_1164.all; entity multiplexer2_1 is port( a : in STD_LOGIC; b : in STD_LOGIC; sel : in STD_LOGIC; dout : out STD_LOGIC ); end multiplexer2_1; architecture multiplexer2_1_arc of multiplexer2_1 is component and2 is port (a : in STD_LOGIC; b : in STD_LOGIC; dout : out STD_LOGIC ); end component and2; component or2 is port (a : in STD_LOGIC; b : in STD_LOGIC; dout : out STD_LOGIC ); end component or2; component not1 is port (a : in STD_LOGIC; dout : out STD_LOGIC ); end component not1; signal m : std_logic; signal n : std_logic; signal o : std_logic; begin u0 : and2 port map (a,m,n); u1 : and2 port map (sel,b,o); u2 : or2 port map (n,o,dout); u3 : not1 port map (sel,m); end multiplexer2_1_arc;