Asynchronous (Ripple) Counters

Similar documents
Counter dan Register

Digital Fundamentals: A Systems Approach

Counters

ASYNCHRONOUS COUNTER CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Experiment 8 Introduction to Latches and Flip-Flops and registers

RS flip-flop using NOR gate

EKT 121/4 ELEKTRONIK DIGIT 1

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Logic Counters and Registers

RS flip-flop using NOR gate

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Lecture 8: Sequential Logic

2. Counter Stages or Bits output bits least significant bit (LSB) most significant bit (MSB) 3. Frequency Division 4. Asynchronous Counters

Asynchronous Counter

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q

Analysis of Sequential Circuits

Module -5 Sequential Logic Design

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Other Flip-Flops. Lecture 27 1

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

Unit 11. Latches and Flip-Flops

Registers and Counters

Digital Logic Design ENEE x. Lecture 19

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Introduction to Microprocessor & Digital Logic

CHAPTER 6 COUNTERS & REGISTERS

EET2411 DIGITAL ELECTRONICS

Logic Design. Flip Flops, Registers and Counters

LATCHES & FLIP-FLOP. Chapter 7

Review of digital electronics. Storage units Sequential circuits Counters Shifters

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

Vignana Bharathi Institute of Technology UNIT 4 DLD

Registers and Counters

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Chapter 7 Counters and Registers

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

D Latch (Transparent Latch)

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Universal Asynchronous Receiver- Transmitter (UART)

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

Chapter 4. Logic Design

Introduction. Serial In - Serial Out Shift Registers (SISO)

MC9211 Computer Organization

Chapter 9. Design of Counters

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

CHAPTER 4: Logic Circuits

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Slide 1. Flip-Flops. Cross-NOR SR flip-flop S R Q Q. hold reset set not used. Cross-NAND SR flip-flop S R Q Q. not used reset set hold 1 Q.

CHAPTER 4: Logic Circuits

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Scanned by CamScanner

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

Synchronous Sequential Logic

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states.

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

IT T35 Digital system desigm y - ii /s - iii

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

PRE J. Figure 25.1a J-K flip-flop with Asynchronous Preset and Clear inputs

UNIVERSITI TEKNOLOGI MALAYSIA

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39

Introduction to Sequential Circuits

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

ELCT201: DIGITAL LOGIC DESIGN

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

CHAPTER 1 LATCHES & FLIP-FLOPS

Chapter. Synchronous Sequential Circuits

The NOR latch is similar to the NAND latch

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

Serial In/Serial Left/Serial Out Operation

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Chapter 5 Flip-Flops and Related Devices

MODULE 3. Combinational & Sequential logic

ELCT201: DIGITAL LOGIC DESIGN

Logic Gates, Timers, Flip-Flops & Counters. Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur

UNIT IV. Sequential circuit

Digital Circuits ECS 371

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Multiplexor (aka MUX) An example, yet VERY useful circuit!

1. Convert the decimal number to binary, octal, and hexadecimal.

Digital Fundamentals: A Systems Approach

Logic Design Viva Question Bank Compiled By Channveer Patil

INC 253 Digital and electronics laboratory I

COSC 243. Sequential Logic. COSC 243 (Computer Architecture) Lecture 5 - Sequential Logic 1

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

SEMESTER ONE EXAMINATIONS 2002

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

ELE2120 Digital Circuits and Systems. Tutorial Note 8

CprE 281: Digital Logic

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Chapter 8 Registers & Counters

Lecture 12. Amirali Baniasadi

Transcription:

Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced how flip-flops are connected to make a counter. The number of flip-flops used and how they are connected determine the number of states and the sequence of the states that the counter goes through in each complete cycle. Counters can be classified into two broad categories according to the way they are clocked: 1. Asynchronous (Ripple) Counters - the first flip-flop is clocked by the external clock pulse, and then each successive flip-flop is clocked by the Q or Q' output of the previous flip-flop. 2. Synchronous Counters - all memory elements are simultaneously triggered by the same clock. Asynchronous (Ripple) Counters A two-bit asynchronous counter is shown on the left. The external clock is connected to the clock input of the first flip-flop (FF0) only. So, FF0 changes state at the falling edge of each clock pulse, but FF1 changes only when triggered by the falling edge of the Q output of FF0. Because of the inherent propagation delay through a flip-flop, the transition of the input clock pulse and a transition of the Q output of FF0 can never occur at exactly the same time. Therefore, the flipflops cannot be triggered simultaneously, producing an asynchronous operation. Note that for simplicity, the transitions of Q0, Q1 and CLK in the timing diagram above are shown as simultaneous even though this is an asynchronous counter. Actually, there is some small delay between the CLK, Q0 and Q1 transitions. Usually, all the CLEAR inputs are connected together, so that a single pulse can clear all the flip-flops before counting starts. The clock pulse fed into FF0 is rippled through the other counters after propagation delays, like a ripple on water, hence the name Ripple Counter.

The 2-bit ripple counter circuit above has four different states, each one corresponding to a count value. Similarly, a counter with n flipflops can have 2 to the power n states. The number of states in a counter is known as its mod (modulo) number. Thus a 2-bit counter is a mod-4 counter. A mod-n counter may also described as a divide-by-n counter. This is because the most significant flip-flop (the furthest flip-flop from the original clock pulse) produces one pulse for every n pulses at the clock input of the least significant flip-flop (the one triggers by the clock pulse). Thus, the above counter is an example of a divide-by- 4 counter. The following is a three-bit asynchronous binary counter and its timing diagram for one cycle. It works exactly the same way as a two-bit asynchronous binary counter mentioned above, except it has eight states due to the third flip-flop. Asynchronous Decade Counters The binary counters previously introduced have two to the power n states. But counters with states less than this number are also possible. They are designed to have the number of states in their sequences, which are called truncated sequences. These sequences are achieved by forcing the counter to recycle before going through all of its normal states.

A common modulus for counters with truncated sequences is ten. A counter with ten states in its sequence is called a decade counter. The circuit below is an implementation of a decade counter. Once the counter counts to ten (1010), all the flip-flops are being cleared. Notice that only Q1 and Q3 are used to decode the count of ten. This is called partial decoding, as none of the other states (zero to nine) have both Q1 and Q3 HIGH at the same time. The sequence of the decade counter is shown in the table below: Asynchronous Up-Down Counters In certain applications a counter must be able to count both up and down. The circuit below is a 3-bit up-down counter. It counts up or down depending on the status of the control signals UP and DOWN. When the UP input is at 1 and the DOWN input is at 0, the NAND network between FF0 and FF1 will gate the non-inverted output (Q) of FF0 into the clock input of FF1. Similarly, Q of FF1 will be gated through the other NAND network into the clock input of FF2. Thus the counter will count up.

When the control input UP is at 0 and DOWN is at 1, the inverted outputs of FF0 and FF1 are gated into the clock inputs of FF1 and FF2 respectively. If the flip-flops are initially reset to 0's, then the counter will go through the following sequence as input pulses are applied. Notice that an asynchronous up-down counter is slower than an up counter or a down counter because of the additional propagation delay introduced by the NAND networks. Synchronous Counters In synchronous counters, the clock inputs of all the flip-flops are connected together and are triggered by the input pulses. Thus, all the flip-flops change state simultaneously (in parallel). The circuit below is a 3-bit synchronous counter. The J and K inputs of FF0 are connected to HIGH. FF1 has its J and K inputs connected to the output of FF0, and the J and K inputs of FF2 are connected to the output of an AND gate that is fed by the outputs of FF0 and FF1. Pay attention to what happens after the 3rd clock pulse. Both outputs of FF0 and FF1 are HIGH. The positive edge of the 4th clock pulse will cause FF2 to change its state due to the AND gate.

The count sequence for the 3-bit counter is shown on the right. The most important advantage of synchronous counters is that there is no cumulative time delay because all flip-flops are triggered in parallel. Thus, the maximum operating frequency for this counter will be significantly higher than for the corresponding ripple counter. Synchronous Decade Counters Similar to an asynchronous decade counter, a synchronous decade counter counts from 0 to 9 and then recycles to 0 again. This is done by forcing the 1010 state back to the 0000 state. This so called truncated sequence can be constructed by the following circuit.

From the sequence on the left, we notice that: Q0 toggles on each clock pulse. Q1 changes on the next clock pulse each time Q0=1 and Q3=0. Q2 changes on the next clock pulse each time Q0=Q1=1. Q3 changes on the next clock pulse each time Q0=1, Q1=1 and Q2=1 (count 7), or when Q0=1 and Q3=1 (count 9). These characteristics are implemented with the AND/OR logic connected as shown in the logic diagram above. Synchronous Up-Down Counters A circuit of a 3-bit synchronous up-down counter and a table of its sequence are shown below. Similar to an asynchronous up-down counter, a synchronous up-down counter also has an up-down control input. It is used to control the direction of the counter through a certain sequence. An examination of the sequence table shows: for both the UP and DOWN sequences, Q0 toggles on each clock pulse. for the UP sequence, Q1 changes state on the next clock pulse when Q0=1. for the DOWN sequence, Q1 changes state on the next clock pulse when Q0=0. for the UP sequence, Q2 changes state on the next clock pulse when Q0=Q1=1. for the DOWN sequence, Q2 changes state on the next clock pulse when Q0=Q1=0.

These characteristics are implemented with the AND, OR & NOT logic connected as shown in the logic diagram above.