Recent results of Multi-beam mask writer MBM-1000

Similar documents
Introduction and recent results of Multi-beam mask writer MBM-1000

Multi-Shaped E-Beam Technology for Mask Writing

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Readiness and Challenges of EUV Mask

The Challenges in Making NIL Master Templates

PROGRESS OF UV-NIL TEMPLATE MAKING

Nano-Imprint Lithography Infrastructure: Imprint Templates

Electron Beam Technology

Lossless Compression Algorithms for Direct- Write Lithography Systems

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Overcoming Challenges in 3D NAND Volume Manufacturing

Display Technologies CMSC 435. Slides based on Dr. Luebke s slides

Screen investigations for low energetic electron beams at PITZ

Display Systems. Viewing Images Rochester Institute of Technology

Reading. 1. Displays and framebuffers. History. Modern graphics systems. Required

Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator

Displays. History. Cathode ray tubes (CRTs) Modern graphics systems. CSE 457, Autumn 2003 Graphics. » Whirlwind Computer - MIT, 1950

Part 1: Introduction to computer graphics 1. Describe Each of the following: a. Computer Graphics. b. Computer Graphics API. c. CG s can be used in

3. Displays and framebuffers

Reading. Displays and framebuffers. Modern graphics systems. History. Required. Angel, section 1.2, chapter 2 through 2.5. Related

PTIK UNNES. Lecture 02. Conceptual Model for Computer Graphics and Graphics Hardware Issues

25W 9xxnm Uncooled Multimode Laser Diode Module

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

SLD266ZS. Octa-Beam AlGaAs Laser Diode. Description. Features. Applications. Recommended Operating Optical Power Output

Part 1: Introduction to Computer Graphics

Academic and Research Staff. Prof. John G. King Dr. John W. Coleman Dr. Edward H. Jacobsen. Norman D. Wittels

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

Recent APS Storage Ring Instrumentation Developments. Glenn Decker Advanced Photon Source Beam Diagnostics March 1, 2010

High performance optical blending solutions

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

The Transition to Patterned Media in Hard Disk Drives

Layout Decompression Chip for Maskless Lithography

Approaching Zero Etch Bias at Cr Etch Process

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Flash Single Color Datasheet

HC9000D. Color : Midnight Black

Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems. Hsin-I Liu

Auto classification and simulation of mask defects using SEM and CAD images

CS2401-COMPUTER GRAPHICS QUESTION BANK

DIRECT DRIVE ROTARY TABLES SRT SERIES

XC-77 (EIA), XC-77CE (CCIR)

Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems

VIDEO XTREME PORTFOLIO. VX-40d, VX-50d, VX-60d, VX-80d

Mechanical aspects, FEA validation and geometry optimization

Reading. Display Devices. Light Gathering. The human retina

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA

RECOMMENDATION ITU-R BR.716-2* (Question ITU-R 113/11)

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Leica VB-6HR Lithography System

Development of Multiple Beam Guns for High Power RF Sources for Accelerators and Colliders

Analysis of Capacity vs Orbital Spacing for military purpose Ka-band satellites

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Operation of CEBAF photoguns at average beam current > 1 ma

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

ELECTRON OPTICS OF ST-X, ST-Y SERIES OF STREAK & FRAMING CAMERA TUBES

Television Analyser - TVA97

Comp 410/510. Computer Graphics Spring Introduction to Graphics Systems

PRODUCT GUIDE CEL5500 LIGHT ENGINE. World Leader in DLP Light Exploration. A TyRex Technology Family Company

Optical Engine Reference Design for DLP3010 Digital Micromirror Device

FS3 Series Tri-Lens for SEOUL SEMICONDUCTOR Z-POWER P4 TM LEDs

Cathode Studies at FLASH: CW and Pulsed QE measurements

The hybrid photon detectors for the LHCb-RICH counters

CNT FIELD EMISSION CATHODE CATALOG. XinRay Systems Inc. April 2014

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

PERFORMANCE SPECIFICATION SHEET ELECTRON TUBE, CATHODE RAY TYPE 7AGP19

Agilent Technologies 54522A

Product & Technology Introduction of Half-Cell Module. Technical Service Dept. (Q4 17 Version)

VPL-DX102. 2,300 lumens XGA Desktop projector. Overview

Analog Arts SA985 SA975 SA935 SA915 Product Specifications

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705

LEDs an der Schwelle zum Einsatz in Projektionssystemen: Herausforderungen, Grenzen und Anwendungen

Introducing The ebeam Initiative

Computer Graphics: Overview of Graphics Systems

Digital BPMs and Orbit Feedback Systems

IMAGING GROUP. * With dual port readout at 16MHz/port Detector shown with a C-mount nose and lens, sold separately

DCI Requirements Image - Dynamics

Polygon Scanners Capabilities, Applications and System integration. considerations

Quality produced by means of electron beam

Stimulus presentation using Matlab and Visage

In-process inspection: Inspector technology and concept

Types of CRT Display Devices. DVST-Direct View Storage Tube

Implementing a Proton Beam Scanning System within an Operating Clinical Facility

ITS-I. Test station for evaluation of image quality of image intensifier tubes. Fig. 1. Photo of the ITS-I test station: a)photo, b)block diagram

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

Analog Arts SA985 SA975 SA935 SA915 Product Specifications [1]

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem.

THE OPERATION OF A CATHODE RAY TUBE

AREAL- Phase 1. B. Grigoryan on behalf of AREAL team

Empirical Model For ESS Klystron Cathode Voltage

ADVANCED OPTICAL FIBER SOLUTIONS

Electrical and Electronic Laboratory Faculty of Engineering Chulalongkorn University. Cathode-Ray Oscilloscope (CRO)

1. Publishable summary

INSTRUMENT CATHODE-RAY TUBE

Sealed Linear Encoders with Single-Field Scanning

Transfer Radiation Thermometer With Temperature Range Of 0 C To 3,000 C

Specifications. Mechanical Information. Mass (grams) Dimensions (mm) 15 x 75 Housing. Anodised Aluminium Isolated Body

V DD1 V CC - V GL Operating Temperature T OP

Transcription:

Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1

NFT s mask writer roadmap 2016 Device Production 2016 2017 2018 2019 2020 Remarks ITRS 2013 Logic N10 N7 N7 N5 N5 Node name DRAM 22 20 18 17 15 Bit line hp (nm) Flash 14 13 12 12 12 Gate hp (nm) EBM-9000 2013 N14, 10 Mask Writer EBM-9500 MBM-1000 2015 2017 N7 N5 MBM-2000 2019 N3 NuFlare keeps on releasing leading-edge mask writers every two years to support semiconductor industry for more than 15 years. We will launch MBMW to comply with ITRS roadmap. MBM-1000 is to be released in 2017 for N5. MBM-2000 will be coming in 2019 for N3. 2

History of EB writer development The 3rd technical innovation for futuristic mask writing 2000 3

MBM-1000 NuFlare is evaluating MBM-1000 alpha tool. Assembly of beta tool is almost completed. Beam on planned at the end of July. 4

VSB Electron gun Multi-beam Electron gun 1 st shaping aperture Shaping deflectors 2 nd shaping aperture Condenser lenses Projector lenses Shaping aperture array (SAA) Blanking aperture array (BAA) Condenser lens Projection lens Sub deflectors Main deflectors Objective lens Sub deflectors Main deflectors Objective lens single shot up to 500 na Reticle total current 500 na Key technologies Advantage Limitation VSB Single Variable Shaped Beam High current density High speed deflection Best cost performance for Med- Low pattern density/doses High doses and pattern densities impact write time MB Massive number of beams High-speed data path and BAA Gray beam writing Constant write time for all pattern densities Enables high doses Not cost effective for Med-Low pattern densities and doses Narrow process window due to gray beam 5

VSB VSB vs. pixelated gray beam No gray beam is used. Dose profile is formed by VSB (rectangular and triangular) shots VSB, MB 10 20 50 MB nm 10 nm, MB 50 nm shot dose Pixelated gray beam Square beams with modulated dose are exposed beam size dose threshold dose deposited dose deposited dose design pattern size (300 nm) position pixel size D D 0 10 nm beam size can reproduce VSB dose profile D D 0 D 0.5D 0 Slide 6

Strategy in design Resolution 10 nm beam size for accurate edge position control by gray beam writing Low-aberration optics Writing accuracy 10-bit dose control 0.1 nm CD/position resolution PEC/FEC/LEC calculated based on physical models Multi-pass writing Throughput Massive number of beams with current density 2A/cm 2 Total beam current is 500 na, which is equal to beam current at maximum shot size in EBM-9000. High-speed BAA and data-path with real-time inline processing 7

Tool configuration (EBM, MBM) Item EBM-9500 MBM-1000 Accel. voltage 50 kv 50 kv Cathode 1200 A/cm 2 2 A/cm 2 Beam current 500 na @ max shot size 500 na in total Beam blur r < r Beam size VSB ( 250 nm) beamlet (10 nm x 10 nm) Field size 90 µm 512 x 512 beamlets in 82 µm x 82 µm area Stage Frictional drive with variable speed Air bearing stage with constant speed Data format VSB12i, OASIS.MASK MBF (polygon support), VSB12i, OASIS.MASK Corrections for writing accuracy PEC/FEC/LEC, GMC, CEC, GMC-TV, TEC PEC/FEC/LEC, GMC, CEC, GMC-TV, EUV-PEC 8

Correction function offline correction (VSB12i EL) EBM PEC LEC/FEC 10-3 10-2 10-1 10 0 10 1 10 2 10 3 10 4 interaction range [um] dose D th No fogging effect primary dose proximity effect fogging effect D Offline dose modulation can be used for short range correction with VSB12i EL function. With fogging effect x / 2 D x g P x x dx D x g F x x dx Dth dose level adjusted by PEC 30 nm 10 mm 5000 mm FEC primary dose proximity dose fogging dose 9

Correction function offline correction (VSB12i EL) 6 inch mask size EBM beam-by-beam optimization new inline correction PEC LEC/FEC EUV-PEC MBM 10-3 10-2 10-1 10 0 10 1 10 2 10 3 10 4 interaction range [um] MBM is capable of all corrections done by inline and realtime. New inline correction function provides PEC, EUV-PEC, fidelity optimization Long-range correction (LEC/FEC) and beam-by-beam optimization. 10

Inline/realtime data path offline process Standard format conversion software MBF (tool format) EWS error check vector level processing rasterize pixel level dose modulation Data integrity is checked during preceding mask writing and Electron gun mask transfer. Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors Condenser lens PEC/FEC/LEC/GMC are applied. high-speed /parallel processing Polygon pattern can be used Projection lens Objective lens Systematic error compensation Fidelity optimization storage unit shot data generation Create low level format data directly send to BAA layout data layout data shot time data deflection data BAA DACAMP high-speed h/w 11

Standard specification Specification EBM-9000 EBM-9500 MBM-1000 Global Image Placement accuracy [nm 3 ] 3.0 2.1 1.5 CD Uniformity [nm] Global [3 ] 3.0 2.5 1.5 Local [3 ] 1.3 1.3 1.0 Beam blur r < r Mask write time [hours] (130mmx100mm) - - 12 @ 75 µc/cm 2 VSB VSB Beam size [nm] 10 (0.1 to 250) (0.1 to 250) Current density [A/cm 2 ] 800 1200 2 12

Throughput relative to Shot Count MB is advantageous with shot counts > ~200 Gshot/pass. 13

Write time [h] Throughput relative to Dose MB is advantageous for Shot count > 200 G/pass and Resist sensitivity > 75 uc/cm 2 45 40 35 30 25 20 15 10 5 0 VSB vs MBM-1000 Write Times MBM-1000 is better for this region 0 50 100 150 200 250 300 Exposure Dose [µc/cm2] EBM-9500 (250 Gshot/pass) EBM-9500 (500 Gshot/pass) EBM-9500 (1000 Gshot/pass) MBM-1000 (independent of shot count) 4 pass writing 14

Patterning resolution test 20 nm hp resolved within 70 um sq. area. Patterning quality was degraded at the area closed to perimeter of 82 um field X/Y pos. [um] +35 +25-35 -25 0 +25 +35 5 um FOV 0-25 -35 82 um 15

Patterning resolution test hp 16 nm hp 20 nm horizontal vertical MBM shows better than hp 20 nm resolution. Resist images using ZEP520A 50 nm thickness @ 160 uc/cm 2 16

Schedule Local area writing by Alpha tool: Dec. 2015 Demonstrated better resolution than EBM-9500 Test pattern full area writing : Mar. 2016 Beta tool beam on : Jul. 2016 Customer pattern demo writes : Oct. 2016 Upgrade to high-speed data path : Q1 2017 First HVM delivery : Q4 2017 17

NuFlare, Integrating your needs Design & Development Service Quality Manufacturing 18