ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis

Similar documents
Programmable Logic Design I

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Laboratory Exercise 7

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

B2 Spice A/D Tutorial Author: B. Mealy revised: July 27, 2006

Lecture 8: Sequential Logic

1. Synopsis: 2. Description of the Circuit:

Table of Contents Introduction

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

Main Design Project. The Counter. Introduction. Macros. Procedure

1. Convert the decimal number to binary, octal, and hexadecimal.

LAB 3 Verilog for Combinational Circuits

Modeling Latches and Flip-flops

Synchronous Sequential Logic

LAB 3 Verilog for Combinatorial Circuits

Combinational vs Sequential

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ELE2120 Digital Circuits and Systems. Tutorial Note 7

Lecture 10: Programmable Logic

Main Design Project. The Counter. Introduction. Macros. Procedure

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

University of Victoria Electrical and Computer Engineering CENG 241 Digital Design I Laboratory Manual

Modeling Latches and Flip-flops

LATCHES & FLIP-FLOP. Chapter 7

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Altera s Max+plus II Tutorial

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

Analyzing and Saving a Signal

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

GVD-120 Galvano Controller

Digital. Digital. Revision: v0.19 Date: : / 76

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

LAX_x Logic Analyzer

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

Allegro PCB SI SigXplorer L Series Tutorial. Product Version 16.0 June 2007

EE178 Spring 2018 Lecture Module 5. Eric Crabill

Laboratory Exercise 7

ASYNCHRONOUS COUNTER CIRCUITS

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

LSN 12 Shift Registers

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

Performing Signal Integrity Analyses. Signal Integrity Overview. Modified by Phil Loughhead on 16-Nov-2015

EE292: Fundamentals of ECE

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

CprE 281: Digital Logic

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Chapter 5 Synchronous Sequential Logic

Testing Results for a Video Poker System on a Chip

Project 6: Latches and flip-flops

Last time, we saw how latches can be used as memory in a circuit

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Chapter. Synchronous Sequential Circuits

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

SignalTap: An In-System Logic Analyzer

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ. Products: AMIQ, SMIQ

SignalTap Plus System Analyzer

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

Performing Signal Integrity Analyses

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Logic Analysis Basics

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED Experiment 2 - Arithmetic Elements

Logic Analysis Basics

Synchronous Sequential Logic

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

CPS311 Lecture: Sequential Circuits

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST

MICROSOFT WORD FEATURES FOR ARTS POSTGRADUATES

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Circuit Design: Principle

ELE2120 Digital Circuits and Systems. Tutorial Note 8

Contents Circuits... 1

Serial Decode I2C TEN MINUTE TUTORIAL. December 21, 2011

Digital Circuits ECS 371

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

General: Catalog Description: Grading Policy: Course Code: COE 203 Title: Digital Logic Laboratory Co-requisite(s): COE 202 (Digital Logic Design)

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Solutions to Embedded System Design Challenges Part II

Using the Quartus II Chip Editor

IT T35 Digital system desigm y - ii /s - iii

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

6. Sequential Logic Flip-Flops

Chapter 11 State Machine Design

Chapter 2. Digital Circuits

RS flip-flop using NOR gate

The Zeroplus Logic Analyzer User s Manual V3.05. Index

BUSES IN COMPUTER ARCHITECTURE

D Latch (Transparent Latch)

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Introduction to Sequential Circuits

Registers and Counters

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Digital Blocks Semiconductor IP

Transcription:

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis 1) Start the Xilinx ISE application, open Start All Programs Xilinx ISE 9.1i Project Navigator or use the shortcut on the desktop ( ). 2) Open a project or create a new project (use the C:\ET224L directory) 3) Create a stimulus (waveform) file to exercise the digital design needing to be analyzed a) In the Sources window select the Behavioral Simulation option for the Sources for: category as shown in Figure 1. b) Right-click on the project name in the Sources window and select the option to New Source c) In the New Source Wizard window select the Test Bench Waveform option and provide a meaningful name for this waveform file (can be same as the project or schematic names, remember to not use spaces in names) as shown in Figure 2, and finally select the Next, Next (to associate it with the current project), and Finish options. Figure 1: "Sources" window setup to select behavioral simulation source Figure 2: New source window setup for adding a waveform

4) The test bench waveform editor is initialized from within the Initialize Timing and Clock Wizard window (should automatically pop-up). To setup for analysis of combinatorial circuits go to step 4a, for analysis of sequential circuits go to step 4b, and for analysis of sequential circuits with asynchronous inputs go to steps 4b and 4c. a) To setup a waveform for combinatorial logic: select the Combinatorial radio button under Clock Information, change the Check Inputs and Check Outputs to be 5ns instead of the default 50ns, and change the Initial Length of test bench value to 1000ns as shown in Figure 3. Finally select Finish and the test bench waveform file (*.tbw) will be generated and loaded within the Xilinx ISE. Do not worry if the window has a black box instead of the timing image shown in Figure 3. Figure 3: Timing setup for combinatorial circuit simulation b) To setup a waveform for synchronous logic, select the Single Clock radio button under Clock Information and change the Initial Length of Test Bench value to 1000 as shown in Figure 4. Be sure to set the valid edge of the clock and the associated clock information in the Clock Timing Information section. Finally select Finish and the test bench waveform file (*.tbw) will be generated and loaded within the Xilinx ISE. Figure 4: Timing setup for sequential circuit simulation using a single clock source

c) To setup a waveform that contains asynchronous inputs select the Add Asynchronous Signal Support option in the Initial Timing and Clock Wizard window (this will grey-out all clock options). Finally select Next and the Initial Timing and Clock Wizard Clock Selection window will open. i) Highlight the circuit s clock signal (make sure the Add Asynchronous Signal Support option is selected) and select Next as shown in Figure 5. Figure 5: Selecting the clock signal when using asynchronous inputs ii) Within the Initial Timing and Clock Wizard Clock and Signal Association window each I/O signal must be defined as either synchronous by being associated with the selected clock signal or defined as asynchronous by being associated with the Asynchronous Signal clock option. Figure 6 depicts how an input signal for a switch can be defined as asynchronous. Once all I/O signals have been associated, select Next. Figure 6: Defining all I/O signals as synchronous or asynchronous through their association

iii) Within the Initial Timing and Clock Wizard Clock Timing Setup window the clock timing parameters must be set including the valid edge of the clock as shown in Figure 7. Finally select Finish and the test bench waveform file (*.tbw) will be generated and loaded within the Xilinx ISE. Figure 7: Timing setup for simulation with asynchronous inputs 5) The input waveforms within the test bench waveform editor are modified by clicking on them wherever you want them to change state. The blue shaded areas indicate the time after which the outputs are valid, the input setup time (in our case 5ns). An input value for a particular location on the waveform can be set by double-clicking on the desired location and then entering the required binary value in the Set Value pop-up window as demonstrated in Figure 8. The Set Value pop-up window also contains a pattern wizard which allows the user to create a specified waveform pattern starting at the location the mouse was double-clicked. a) Note that for synchronous circuit simulations the output signals will not change until after the global-set-reset (GSR) pulse at the start of simulation which ends at roughly 100ns. b) Bus input waveforms can be entered by typing in their values using the desired notation (select decimal, hexadecimal, binary, or ASCII by right-clicking on waveform) as shown in Figure 9. The bus can also be expanded and each individual waveform can be updated independently. Figure 8: Using the Set Value window to specify the waveform format

Figure 9: Modifying bus values c) To zoom into the waveform use the button, to zoom out use the button, and to view the entire waveform use the button. d) To place markers onto the waveform to help read or setup the timing information use the, or buttons for a measure marker or a single marker, and then click and drag the markers to the desired locations. 6) Save the developed waveform file by pressing CTRL+S which will add the waveform file as a source to the project (shown in the Sources window ) and then close the test bench waveform editor window. 7) To create a self-checking test bench the output waveforms can be edited manually or automatically using the Generate Expected Results process. a) Highlight the new test bench waveform (*.tbw) file in the Sources tab within the Sources window. In the Processes window select the Processes tab and the Xilinx ISE Simulator category and right-click on the Simulate Behavioral Model option and run the synthesis, as shown in Figure 10. b) The simulation window will automatically open with the output values from the simulation. Figure 10: "Processes" window for simulating the behavioral model from the test bench

8) The ISE Simulator can be used with the developed test bench to perform a post-place and route simulation. Since the design has been mapped to the chip during the placeand route process, a more accurate simulation can be performed that will also provide timing information such as delays of the output signals a) In the Sources window select the Post-Route Simulation option for the Sources for: category. b) Highlight the test bench waveform file (*.tbw) under the Sources tab in the Sources window. c) Run the simulation by double-clicking on the Simulate Post-Place & Route Model from the Xilinx ISE Simulator option as shown in Figure 11. A simulator window with the results will open and delays can be analyzed using the zoom feature. Figure 11: "Processes" window for generating the post-route simulation results