Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Similar documents
Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Controlling Peak Power During Scan Testing

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

On Reducing Both Shift and Capture Power for Scan-Based Testing

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

Transactions Brief. Circular BIST With State Skipping

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

A New Low Energy BIST Using A Statistical Code

SIC Vector Generation Using Test per Clock and Test per Scan

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Weighted Random and Transition Density Patterns For Scan-BIST

Design of Fault Coverage Test Pattern Generator Using LFSR

Clock Gate Test Points

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction

Power Problems in VLSI Circuit Testing

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

Efficient Path Delay Testing Using Scan Justification

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Design of Routing-Constrained Low Power Scan Chains

Launch-on-Shift-Capture Transition Tests

Scan. This is a sample of the first 15 pages of the Scan chapter.

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Lecture 23 Design for Testability (DFT): Full-Scan

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Critical-Path-Aware Partial Gating Approach for Test Power Reduction

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

An FPGA Implementation of Shift Register Using Pulsed Latches

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Fault Detection And Correction Using MLD For Memory Applications

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Power Optimization by Using Multi-Bit Flip-Flops

Changing the Scan Enable during Shift

ISSN:

Survey of low power testing of VLSI circuits

I. INTRODUCTION. S Ramkumar. D Punitha

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

K.T. Tim Cheng 07_dft, v Testability

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Deterministic BIST Based on a Reconfigurable Interconnection Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Multiple Scan Methodology for Detection and Tuning Small Delay paths

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Survey of Test Vector Compression Techniques

A Power Efficient Flip Flop by using 90nm Technology

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Figure.1 Clock signal II. SYSTEM ANALYSIS

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

An Efficient Reduction of Area in Multistandard Transform Core

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Overview: Logic BIST

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Dynamic Scan Clock Control in BIST Circuits

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs

Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths During At-Speed Scan Test

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Testing of Cryptographic Hardware

VLSI System Testing. BIST Motivation

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Design of an Efficient Low Power Multi Modulus Prescaler

Design for Testability Part II

Using on-chip Test Pattern Compression for Full Scan SoC Designs

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Transcription:

2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction Sungyoul Seo 1, Yong Lee 1, Hyeonchan Lim 1, Joohwan Lee 2, Hongbom Yoo 2, Yojoung Kim 2, and Sungho Kang 1 1 Department of Electrical and Electronic Engineering 1 Computer systems & reliable SoC Lab., Yonsei University, Seoul, Korea 2 Samsung Electronics, Korea 1 {sungyoul, daiginda, lhcy92}@soc.yonsei.ac.kr, shkang@yonsei.ac.kr Abstract As a scan-based testing enables higher test coverage and faster test time than alternative ways, it is widely used by most system-on-chip (SoC) designers. However, since the number of logic gates is over one hundred million gates, a number of scan cells lead to excessive power consumption and it produces a low shifting frequency during the scan shifting mode. In this paper, we present a new scan shift power reduction method based on a scan chain reordering (SR)-aware X-filling and a stitching method. There is no need to require an additional logic for reducing the scan shift power, just a little routing overhead. Experimental results show that this method improves scan shift power consumption on benchmark circuits in most cases compared to the results of the previous works. Keywords scan based-testing; low power scan testing; shifting power reduction; low-shift power X-fill; design-for-testability (DFT) I. INTRODUCTION As technology processes scale up and design complexities grow, more intellectual property (IP) cores can be integrated into a single chip such as a system-on-chip (SoC). Actually, most modern designs are over a hundred million logic gates [1]. In these large designs, a variety of scan-based solutions have emerged as a reliable test scheme for testing complex sequential circuits for reducing automatic test pattern generation (ATPG) and boosting fault coverage level [2]. However, the power consumption is much more excessive during the scan test operation than during the functional operation [3]. A number of changing states occur in the scan flip-flops during the scanbased testing due to shift and/or capture the test patterns into the scan chains [4]. This problem produces many switching activities in the internal combinational logics and degrades the reliability of the scan testing. As a result, it causes a structural damage and a large voltage drop that lead to erroneous data transfer [5]. There are two major problems from the low power testing point of view: 1) capture power and 2) shift power. The capture power is generated by the same scan cells value switching as the scan-in patterns are replaced to the captured responses. On the other hand, the shift power is generated by a two adjacent scan cells value difference during the shift mode [6]. Therefore, these two issues should be dealt with differently [7]. For blocking the malfunction during at-speed testing, the capture power must be lowered not to generate excessive IR-drop and resulting delay. If the shift frequency and/or the test parallelism should be improved, it is necessary to reduce the shift power [8]. In this paper, we focus on the scan shift power reduction, which can reduce the test cost by speeding up the shift frequency during the shift mode. To achieve this, new methods, the X- filling and the scan cell stitching method, will be introduced. These two methods are interdependent. Hence, the new X-filling method is specialized for our scan stitching method and the new scan stitching method is performed after applying our X-filling method. The remainder of this paper is organized as follows. Section II describes the preliminaries of related works in the scan shifting power reduction and the scan shifting power estimation. In Section III, the proposed scan shift power reduction methods, the scan chain reordering (SR)-aware X-filling and the low power scan stitching, are specifically introduced. The experimental results are shown in Section IV, and we conclude this paper in Section V. II. PRELIMINARIES A. Related Works To solve the power issues, numerous power reduction methods during the scan-based testing have been proposed for satisfying not to reach a threshold power without reducing the test frequency. The low power scan test solutions can be classified into two categories: 1) ATPG-based and 2) design-fortestability (DFT)-based [9]. The ATPG-based solution analyzes and/or controls the configuration or the results of the ATPG for the test power reduction []. Many X-filling methods which are known to be the most typical ATPG-based solution are published [7, 11]. In addition, low power test pattern generation algorithms [12, 13] are used for the same purpose. This solution can take advantage of the conventional test flow through ATPG without any additional logic insertion, while it cannot obtain equivalent effects of the power reduction as the DFT-based solution. The DFT-based solution inserts the additional test control logics and/or modifies a scan chain configuration []. The examples of this solution include scan cell reordering [6], scan cell gating [3, 8], scan chain modification [14, 15], and scan clock gating [2, 4]. However, the scan cell gating and the scan clock gating affects clock skew problems in the normal mode, and the scan 978-1-4673-9739-1/15 $31.00 2015 IEEE DOI.19/ATS.2015.8 1

Fig. 1. Procedure for the proposed scan shift power reduction method. chain modification may degrades the coverage of un-modeled faults [15]. Recently, combination of the X-filling and the DFT-based solution is introduced for improving the test power efficiency [16]. In this paper, the proposed scan shift power reduction method adopted both two solutions, which is comprised of the X-filling and the scan cell reordering method, for the same purpose, although this method may increase computation time. The X-filling methods are generally used for improving a compression efficiency and/or saving the test power. Proposed X-filling method is for reducing the scan shifting power, but it does not impact directly on the power reduction. Hence, it is just SR aware method, which helps to improve the effectiveness of the proposed scan stitching method. Therefore, we propose an additional method for the low power scan stitching method based on a new weighted hamming distance (WHD) searching, which gives a priority to the low power consumption not a routing overhead. In Section III, we describe more detail of these methods and the achieved experimental results are presented in Section IV. B. Scan Shifting Power Estimation The power consumption in complementary metal-oxidesemiconductor (CMOS) integrated circuits (ICs) can be classified into two categories: 1) static power and 2) dynamic power. The static power is owing to a leakage current and the dynamic power is due to charging and discharging of a load capacitance [17]. For improving the scan shifting frequency, the dynamic power has more severe effect than the static power from the point of view of switching from 0 to 1 or vice versa on the circuit components [18]. A weighted transition metric (WTM) [18] is one of the preferred methods for estimating the scan shifting power. The WTM can consider both the number of transition and their relative positions into a scan chain. The shift-in power in the ith pattern can be estimated with the following equation: N 1 i i, j i, j1 j1 WTM S S j (1) where N is the number of the scan cells into the scan chain and, represents the logic state of the jth scan cell in the ith test pattern. If the shift-out power may be estimated, the last term is Test pattern number Fig. 2. Test data composition of s38584 benchmark circuit. Percentage of the number of X-bits replaced to ( ). In this paper, all scan shift power will be presented by (1). III. PROPOSED SCAN SHIFT POWER REDUCTION METHOD In order to deal with the scan shift power, we propose a new scan shift power reduction method using the SR-aware X-filling and the scan stitching method, and this procedure is illustrated in Fig. 1. First, the test patterns are extracted from the ATPG considering a post-atpg X-filling. Then, the SR-aware X- filling is performed based on the results of the transition probability (TP). Finally, all scan chains are stitched by using minimum WHD searching. To explain more detail, we sequentially demonstrate these three steps and illustrate its examples. A. Test Pattern Extraction Note that, we use the post-atpg X-filling, which consists of a test relaxation and the X-filling, and its effectiveness depends on the characteristic of the X-bits in the test cubes [19]. The test relaxation is to maintain a test coverage and test pattern count. Hence, it should obtain the test patterns including a number of X-bits although it uses a dynamic compaction during the ATPG. Fortunately, it has been observed that the test patterns are composed of a number of X-bits as shown in Fig. 2. Care bit density of the test patterns is fairly high in the earlier patterns, but the later test patterns (over 85%) are composed of a number of X-bits. Consequently, the test patterns can be extracted including many X-bits in spite of using the dynamic compaction. Due to this result, the later test patterns can be controlled by our proposed SR-aware X-filling. To improve the efficiency of the test relaxation, we use a random fill in the earlier test patterns although this filling method introduces many switching activities during the scan shift mode. It is a negligible burden compared to the expected effects in the later test patterns because we speed up the shifting frequency when inserting the later test patterns which composed over 85% X-bits. Hence, automatic test equipment (ATE) maintains conventional frequency in the area of the earlier test patterns. But if the test power reduction is enabled in the later test patterns, the total test time is significantly faster than the conventional low power test methods. B. SR-aware X-Filling As previous mentioned, the proposed ATPG flow generates the test patterns with many X-bits in the later test patterns. The 2

Fig. 3. STIL files for the pattern simulation and the simulation result. objective of the proposed X-filling is for improving the effectiveness of the proposed low power scan stitching method. In addition, it intends to operate on both single chain and multiple chains. In order to meet above conditions, two additional works should be performed: 1) pattern simulation and 2) TP estimation. The pattern simulation and the TP estimation is to improve the similarity on the cells values in the both scanin and scan out. This is because it is trying to assign the X-bits to same bits and get the same responses for the proposed scan stitching method; these procedure is necessary for the Step 3. First of all, a new standard test interface language (STIL) file is required to simulate the test patterns in order to get the test responses on a simulation pattern. The simulation pattern is that the X-bits of each scan chain value are filled with all 0s or 1s. Let us assume that a design has 0 scan chains, the STIL file for the simulation and the simulation result are presented in Fig. 3, where scan_in_i is a ith input test data, scan_out_i is a ith output test responses, and _pi and _po are a primary input (PI) and a primary output (PO). In Pattern 1 for the pattern simulation, the _pi data are filled with all 0s. On the contrary, the _pi data are assigned to all 1s in the next pattern. From the next pattern, this procedure is iterated until final scan_in_0 data are filled with all 0s and 1s in the same way. Here, the rest test patterns are filled with all X bits. The pattern counts in the STIL file for the pattern simulation, _, can be estimated with the following equation: Csim _ pat NSC 2 2 (2) where is the number of the scan chains and the last term which is added to 2 is due to PI such as pattern 1 and 2. Once the initial STIL file is produced, the pattern simulation is performed by the ATPG tool such as TetraMAX which is the test generation tool of Synopsys. The results of this simulation are illustrated in bold in the right side of the Fig. 3, where L and H indicate a low (0) and a high (1) response. Next, the TP estimation is conducted for deciding whether the X-bits of the particular chain should be filled with 0 or 1. Let us assume that the number of the scan cells is N, then the TP in each pattern,, can be estimated with the following equation: N1 N1 ii, 1 ii, 1 ii, 1 _ (3) TP TP TP TP tot in out in out i1 i1 where each and indicates input and output TP between ith and i+1th cell values, and, _ is a summation of them. In addition, each, can be written as follows: 0 1 1 0 ii, 1 i i1 i i1 TP P x P x P x P x (4) where the two terms are whether a switching activity is produced between the ith and the i+1th cell. If or is assigned to X,, is 0.5. Note that the proposed X-filling method is SR aware, so the TP estimation is conducted after a simple SR. The proposed scan stitching is performed to collect the same in and out values for reducing switching activities. Let us consider the following example where N = 24 and =4, shown in Fig. 4. It shows how the X-bits of the Chain 1 will be determined. In Case 1, the pattern simulation is performed after the input data of Chain 1 are fully filled with 0s and the result is shown in Fig. 4(a). When Fig. 4. Simple example for the SR and the TP estimation. 3

Fig. 5. Simple example for the proposed scan stitching method. Circuit TABLE I. INFORMATION OF THE BENCHMARK CIRCUTS FFs PIs POs Gate Counts s13207 669 31 121 7,951 s15850 597 14 87 9,772 s38417 1,636 28 6 22,179 s38584 1,452 12 278 19,253 b17 1,415 37 97 32,326 b18 3,320 37 23 114,621 b19 6,642 21 30 231,320 the pattern simulation is done, some unspecified bits in the responses are replaced to the specified bits due to insertion of 0s to the inputs of Chain 1. Then, the simple reordering and the TP estimation are performed, and the final is estimated to 18.0, as shown in Fig. 4(b). Likewise, in Case 2, the pattern simulation is operated after the input data of Chain 1 are assigned to 1s and this example is shown in Fig. 4(c). Here, the final is estimated to 14.5, as shown in Fig. 4(d), which is smaller than that of Case 1. Consequently, the X-bits of Chain 1 is filled with 1s. After the X-bits of all scan chains are decided in the same way, the scan stitching will be performed. C. Low Power Scan Stitching The final step requires fully filled test patterns for stitching the all scan chains, which is also called the scan reordering. For reducing the test power, the minimum WHD searching is performed; hence distance between ith and jth cell, (, ) can be estimated with the following equation: i, j i, j i, j in out N N i n, i n, j i n, i n, j Win xin xin Wout xout xout D x x D x x D x x n1 n1 where (, ) and (, ) is the distance according to the input test data and the output test response, respectively. and is the weight of ith and jth element which is written by i+1 and L-(i+1), respectively. In addition, L is the length of a scan chain, N is the number of the test pattern, and, and, is a bit of the ith and jth input test data in a nth test pattern.,, On the contrary, and are about the output test response. By using the minimum WHD searching, the switching activities can be reduced for the low power scan test. Let us assume that a design has 6 scan cells and the number of test patterns is 3; the example is shown in Fig. 5. Here, the first scan cell has already been selected. So, this example is a procedure, which is trying to find the second cell using the minimum WHD searching. In order to get the minimum WHD for, all elements are estimated using (5) and their results are illustrated in the last columns of the table in the Fig. 5. As a result, the 6th scan cell is selected as the next scan cell. In this example, the shadow blocks indicate the selected minimum scan cell and the solid line is stitched line, but the dotted lines are invalid lines. This work is iterated until all scan chains are stitched by the minimum WHD searching. IV. EXPERIMENTAL RESULTS To examine the improved effects of the proposed method, experiments are performed on the four large ISCAS 89 and three large ITC 99 benchmark circuits. The information of these (5) TABLE II. COMPARISON OF THE SCAN SHIFT POWER WITH THE ADJACENT FILLING METHOD Circuit flip flops scan chains s13207 669 50 s15850 597 50 s38417 1636 50 s38584 1452 50 Earlier patterns Later patterns Total patterns Method PAT WTM avg. PAT WTM avg. PAT WTM avg. 3,223 1,625 1,768 2 112 LP scan stitch 2,781 1,154 1,300 3,391 1,995 2,9 112 122 LP scan stitch 2,629 365 551 2,626 1,404 1,526 90 0 LP scan stitch 2,180 795 934 3,116 1,489 1,605 94 4 LP scan stitch 2,190 407 568 23,257 13,314 14,226 99 9 LP scan stitch 19,434 7,997 9,046 24,952 15,330 16,126 111 121 LP scan stitch 18,478 4,675 5,815 18,478 8,158 9,2 113 123 LP scan stitch 16,528 4,849 5,806 19,768 7,944 8,846 121 131 LP scan stitch 17,328 2,730 3,844 4

TABLE III. COMPARISON OF THE SCAN SHIFT POWER WITH A VARIETY OF THE SCAN CHAIN SIZE Circuit FFs scan chains Method Earlier patterns Later patterns Total patterns PAT WTM avg. PAT WTM avg. PAT WTM avg. b18 3320 b19 6642 50 0 50 0 0 43,496 919 13,660 1,019 16,588 0 43,059 1,183 18,345 1,283 20,271 LP scan stitch 0 39,809 1,183 6,585 1,283 9,175 0 21,142 923 6,735 1,023 8,144 0 20,945 1,183 9,938 1,283,796 LP scan stitch 0 21,712 1,183 3,823 1,283 5,217 150 153,478 905 55,819 1,055 69,705 150 152,065 1,247 92,530 1,397 98,922 LP scan stitch 150 152,889 1,247 19,490 1,397 33,814 150 74,926 905 39,492 1,055 43,680 150 74,926 1,119 39,492 1,269 43,680 LP scan stitch 150 77,801 1,119 12,045 1,269 19,815 TABLE IV. COMPARISON OF THE SCAN SHIFT POWER WITH A VARIETY OF EXISTING SCAN CELL REORDERING METHODS Circuit Method APR ROBPR [6] PRORO [6] Proposed method s13207 Total WTM 8,490,452 3,665,027 3,895,618 2,867,436 Normalized 1.00 0.43 0.45 0.34 s15850 Total WTM 7,013,465 2,994,375 3,034,897 3,317,747 Normalized 1.00 0.43 0.43 0.47 s38417 Total WTM 82,459,089 39,396,985 40,505,086 34,234,908 Normalized 1.00 0.48 0.49 0.41 s38584 Total WTM 60,049,467 37,493,542 37,527,256 25,360,926 Normalized 1.00 0.62 0.62 0.42 b17 Total WTM 295,180,622 63,096,447 64,846,4 60,8,7 Normalized 1.0 0.21 0.22 0.20 Avg. normalized 1.00 0.43 0.44 0.37 circuits are shown in Table I. The initial test patterns are generated from TetraMAX with the dynamic compaction turned on, the random fill turned on in the earlier patterns, and the random fill turned off in the later patterns. The scan shift power is estimated by (1). Table II compares the scan shift power with the adjacent fill which is known as simple and efficient to reduce the shift power. The first row in each circuit shows comparable result generated from the ATPG with the adjacent fill and the next row indicates the result of the proposed low power stitching method after applying the adjacent fill. The third and fourth row are the results which performed in our proposed methods. In the third and fourth row, the earlier patterns are generated from the ATPG with random fill. However the later patterns are generated from the ATPG with no filling method and then all X-bits are fully applied to the proposed SR-aware X-filling. Our filling method increases the number of test pattern (about %) for reaching the same coverage as the result of applying the adjacent fill. However, the proposed method covers the lower shifting power (above -34% up to -64%) than the results of the adjacent fill after applying the proposed scan stitching method. It is necessary to verify the facts that the conventional test frequency can be maintained in the range of the earlier pattern. Moreover, it should be applied to the circuits if the size of the scan chain is variable. To examine these situations, the additional experiments in the largest ITC 99 circuits are performed and these results are shown in Table III. The experimental results of the proposed method are illustrated in bold. In the earlier patterns, the shifting power after the low power scan stitching is similar to the results of the adjacent fill. Hence, this result shows that the shifting frequency can maintain the conventional frequency. In addition, the scan shift power is always reduced regardless of the size of the scan chains. Finally, we compare our proposed method with the existing scan chain reordering methods and these results are shown in Table IV. The third column is produced by [6] using APR, which is a commercial back-end tool of Cadence. Reordering considering both pattern and response correlation (ROBPR) method and power and routing-overhead reordering (PRORO) method consider a routing overhead a little, but the power consumption is quite reduced compared to the Cadence method. This is because the priority of APR is the routing overhead during the scan cell reordering. On the contrary, our proposed 5

method concentrates the scan shift power reduction. All experiments in Table IV are performed in a single chain environment for comparison with the existing methods. In addition, these results show that the proposed method covers outstanding performance from a low power scan shifting point of view. V. CONCLUSION In this paper, we present a new scan shift power reduction method based on the SR-aware X-filling and the low power scan stitching. The proposed method reduces the scan shifting power without a heavy burden. The experimental results show that the scan shifting power is more effective than the results of the existing works in all cases such as the number of the scan chains and many circuits. Moreover, the proposed method can be always used to improve the test frequency by reducing the scan shifting power in the later test patterns regardless of the circuit type. To conclude, the proposed scan shift power reduction method is suitable for any circuit in order to reduce the scan shift power and this result leads to save the test time during the scanbased test. ACKNOWLEDGMENT This work was supported by industrial-educational cooperation program of Samsung. [2014-11-0799, Speed up scan shifting frequency using low power scan stitching method] REFERENCES [1] ITRS. (2012). Edition Reports. [Online]. Available: http://www.itrs.net. [2] D. Czysz, M. Kassab, X. Lin, G. Mrugalski, J. Rajski, and J. Tyszer, Low-power scan operation in test compression environment, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 11, pp. 1742 1755, Nov. 2009. [3] E. Alpaslan, Y. Huang, and X. Lin, On reducing scan shift activity at RTL, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 7, pp. 11 1120, Jul. 20. [4] S. Seo, Y. Lee, J. Lee, and S. Kang, A scan shifting method based on clock gating of multiple groups for low power scan testing, in Proc. IEEE Int. Symp. Quality Electron. Design, Santa Clara, CA, USA, Mar. 2015, pp. 162 166. [5] A. Kumar, M. Kassab, E. Moghaddam, N. Mukherjee, J. Rajski, S. M. Reddy, J. Tyszer, and C. Wang, Isometric test compression with low toggling activity, in Proc. IEE Int. Test Conf., Seattle, WA, USA, Oct. 2014, pp. 1 7. [6] Y.-Z. Wu, and M. C.-T. Chao, Scan-cell reordering for minimizing scanshift power based on nonspecified test cubes, ACM Trans. Design Autom. Electron. Syst., vol. 16, no., pp. :1 29, Nov. 20. [7] J. Li, Q. Xu, and Y. Hu, X-Filling for simultaneous shift- and capturepower reduction in at-speed scan-based testing, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 7, pp. 81 92, Jul. 20. [8] Y.-T. Lin, J.-L. Huang, and X. Wen, A transition isolation scan cell design for low shift and capture power, in Proc. IEEE Asian Test Symp., Niigata, Japan, Nov. 2012, pp. 7 112. [9] Y.-H. Li, W.-C. Lien, I.-C. Lin, and K.-J. Lee, Capture-power-safe test pattern determination for at-speed scan-based testing, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 33, no. 1, pp.127 138, Jan. 2014. [] W. Zhao, M. Tehranipoor, and S. Chakravarty, Power-safe test application using an effective gating approach considering current limits, in Proc. IEEE VLSI Test Symp., Dana Point, CA, USA, May 2011, pp. 160 165. [11] F.-W. Chen, S.-L. Chen, Y.-S. Lin, and T. T. Hwang, A physicallocation-aware fault redistribution for maximum IR-drop reduction, Asia South Pacific Design Autom. Conf., Yokohama, Japan, Jan. 2011, pp. 701 706. [12] X. Wen, K. Enokimoto, K. Miyase, Y. Yamato, M. A. Kochte, S. Kajihara, P. Girard, and M. Tehranipoor, Power-aware test generation with guaranteed launch safety for at-speed scan testing, in Proc. IEEE VLSI Test Symp., Dana Point, CA, USA, May 2011, pp.166 171. [13] X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja, L.-T. Wang, K. S. Abdel-Hafez, and K. Kinoshita, A new ATPG method for efficient capture power reduction during scan testing, in Proc. IEEE VLSI Test Symp., Berkeley, CA, USA, May 2006, pp. 60 65. [14] H. Lim, W. Kang, S. Seo, Y. Lee, S. Kang, Low power scan bypass technique with test data reduction, in Proc. IEEE Int. Symp. Quality Electron. Design, Santa Clara, CA, USA, Mar. 2015, pp. 173 176. [15] E. Arvaniti and Y. Tsiatouhas, Low-power scan testing: a scan chain partitioning and scan hold based technique, Journal Eletron. Testing, vol. 30, no. 3, pp.329 341, Jun. 2014. [16] Z. Chen, J. Feng, D. Xiang, and B. Yin, Scan chain configuration based X-filling for low power and high quality testing, IET Comput. Digit. Tech., vol. 4, no. 1, pp. 1 13, Jan. 20. [17] P. Girard, Low power testing of VLSI circuits: problems and solutions, in Proc. IEEE Int. Symp. Quality Electron. Design, San Jose, CA, USA, Mar. 2000, pp. 173-179. [18] K. Sankaralingam, R. R. Oruganti, and N. A. Touba, Static compaction techniques to control scan vector power dissipation, in Proc. IEEE VLSI Test Symp., Montreal, QC, Canada, May 2000, pp. 35 40. [19] K. Miyase, K. Noda, H. Ito, K. Hatayama, T. Aikyo, Y. Yamato, H. Furukawa, X. Wen, and S. Kajihara, Effective IR-drop reduction in atspeed scan testing using distribution-controlling X-Identification, in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, San Jose, CA, USA, Nov. 2008, pp. 52 58. 6