Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Similar documents
Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Multi-Shaped E-Beam Technology for Mask Writing

Recent results of Multi-beam mask writer MBM-1000

Auto classification and simulation of mask defects using SEM and CAD images

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

The Challenges in Making NIL Master Templates

Approaching Zero Etch Bias at Cr Etch Process

Nano-Imprint Lithography Infrastructure: Imprint Templates

Readiness and Challenges of EUV Mask

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

PROGRESS OF UV-NIL TEMPLATE MAKING

Introduction and recent results of Multi-beam mask writer MBM-1000

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Self-Aligned Double Patterning for 3xnm Flash Production

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Introducing The ebeam Initiative

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

High performance optical blending solutions

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

The Transition to Patterned Media in Hard Disk Drives

Lossless Compression Algorithms for Direct- Write Lithography Systems

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Avoiding False Pass or False Fail

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Wafer defects can t hide from

Selective Intra Prediction Mode Decision for H.264/AVC Encoders

Macroblock Pioneers Driver IC Technology for Micro LED, Fine. Pitch Display, and Interactive AR Applications Part II

Wafer Thinning and Thru-Silicon Vias

Post-Routing Layer Assignment for Double Patterning

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

EMI/EMC diagnostic and debugging

Enhanced Resist and Etch CD Control by Design Perturbation

Failure Analysis Technology for Advanced Devices

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

TITAN Indoor SMD - HD LED Display PLEDCO

Compressed-Sensing-Enabled Video Streaming for Wireless Multimedia Sensor Networks Abstract:

Seamless Ultra-Fine Pitch LED Video Walls

Sharif University of Technology. SoC: Introduction

Superpose the contour of the

1. Publishable summary

DESIGN AND OPTIMIZATION OF LARGE-AREA OLEDS

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

24. Scaling, Economics, SOI Technology

Overcoming Challenges in 3D NAND Volume Manufacturing

3D IC Test through Power Line Methodology. Alberto Pagani

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

August 4, Axcelis Technologies, Inc.

Circuits Assembly September 1, 2003 Duck, Allen

TITAN. Indoor SMD - HD LED Display PLEDCO

METROTOM. Visible Metrology.

I n d u s t r i a l M e t r o l o g y f r o m C a r l Z e i s s. METROTOM. Visible Metrology.

Just plug and go. Practical Features. Valuable Benefits

Testing Digital Systems II

Intematix ChromaLit. Process Problems Solved

Implementing a Proton Beam Scanning System within an Operating Clinical Facility

Electron Beam Technology

Because Innovation Matters

Quadro Plex D2. Mosaic Mode for windows XP Reference Guide

Vicon Valerus Performance Guide

Review Report of The SACLA Detector Meeting

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective

Scintillation Tile Hodoscope for the PANDA Barrel Time-Of-Flight Detector

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

DLP Discovery Reliability Application Note

GPU s for High Performance Signal Processing in Infrared Camera System

More Insights of IEEE 802.3ck Baseline Reference Receivers

(12) Patent Application Publication (10) Pub. No.: US 2004/ A1

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

VLSI Design Digital Systems and VLSI

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Microincrements IP67-related solutions

May 2018 KSA9003A 2018 CAT. NO. K3256-A (ddc) Printed in Japan

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Quality Assurance Implementation at the Roberts Proton Therapy Center. James McDonough 3 August 2013

Challenges in the design of a RGB LED display for indoor applications

World s smallest 5MP stand-alone vision system. Powerful Cognex vision tool library including new PatMax RedLine and JavaScript support

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Layout Decompression Chip for Maskless Lithography

RECOMMENDATION ITU-R BT

EINSCAN PRO 2X/2X PLUS MULTI-FUNCTIONAL HANDHELD 3D SCANNER

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

PRODUCT GUIDE CEL5500 LIGHT ENGINE. World Leader in DLP Light Exploration. A TyRex Technology Family Company

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs

Lower BW and its impact on the patterning performance

EXOSTIV TM. Frédéric Leens, CEO

Large Format UHD Display-65UH5C. Easy Ways to Elevate Your Corporate Identity: In Conference Rooms

Dual Power and Control. Backup System. Armor. SUT43X Series. Ideal Solution For Control Room

Transcription:

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1

193i Needed to be Extended and Extended Inverse Lithography Technology (ILT), Source-Mask Optimization (SMO), and Pixelated Masks invented The enabler is mask with small (assist) or/and complex features Source: SPIE 8680-3 Source: SPIE7640-4 Source: SPIE6924-13 2

The Last Road Block: How to Write Such Complex Masks? Shot count Conventional flow Designer intend OPC Conventional shots Mask image Wafer image Pattern fidelity 3

Overlapped Shots Are the Answer to Reduce Shot Count for Complex Shape 10 conventional shots to write 5 overlapping shots to write Extra energy in overlapping areas 1 2 1 3 4 2 5 6 7 8 10 9 3 4 5 Overlapping shots cast more energy in less mask writing time: = Better process margin = Better CDU = No mask writing time and mask quality compromise 4

Now ebeam Also Need Proximity Correction just Like OPC at 90/65nm Node Shot Size Exposed Resist Edge Slope 100 nm 60 nm Bad Dose Margin Discontinuity at 20nm logic node ebeam writing is no longer faithful Mask Linearity is one issue : but the problem is bad Dose Margin Lack of resiliency to manufacturing variation : LER and CDU problems 5

< 50nm, Context is Critical 30nm 70nm 40nm 100nm 50nm 300nm Each picture is scaled up to show the contour 6

< 50nm, Context is Critical 30nm 70nm 40nm 40nm 100nm 50nm 300nm Each picture is scaled up to show the contour 7

Simulation-Based Mask Data Processing Is the Answer for ILT Mask Pattern Fidelity Above 50nm, context-independent rules-based processing works well enough Below 50nm, context is critical If we can t push below 40nm, we leave the benefits of Moore s Law on the table Simulation-Based Mask Processing is the inevitable answer 8

MB-MDP and Overlapped Shots are Must with VSB for Complex Masks Conventional solution: Geometry-based Conventional flow Designer intend OPC Conventional shots Mask image Wafer image Shots cover CAD layout without overlapping More shot count and worse mask fidelity D2S solution: D2S MB-MDP flow D2S shots Model-based, better CDU control Utilizes overlapping shots to maximize shot contribution to the final mask shapes Simulated mask image Wafer image Less shot count and better mask fidelity ILT shape 9

Complex Shapes are only Feasible with MB -MDP and Overlapped Shots DOF @ 5%EL Much better DOF possible with unconstrained shapes 250 350 500 700 1000 Conventional Fracturing 250 With MB-MDP ebeam shot count 200 150 100 50 0 The base study on conventional 350 fracturing is 500 courtesy of Byung-Gook 700 Kim, et al., 1000 PMJ 2009 But Mask Write Times Exploded 200 150 100 50 0 Mask Write Times do not explode with MB-MDP 350 500 700 1000 10

Complex Mask Write Time: w Conv. MDP Impossible; w MB-MDP Under Control Conv. fracturing Manhattanizing resolution = 25nm = 15nm = 5nm 59% 100% 320% MB-MDP Shot count wrt MR = 15nm 57% 45% 33% G. Chua, et. al, Optimization of Mask Shot Count using MB-MDP and Lithography Simulation, 28 th European Mask and Lithography Conference, 2012 11

Evidence: Images Identical w 77% Reduction in Shot Count with MB-MDP Resist SEM MFG:75K Conventional MB-MDP Pattern and measurement courtesy, Dai-Nippon Printing, Ltd. 12

Recent ILT full chip tape-out for 10nm node ~50% ~40% Shot Count Reduction Write Time Reduction 13

Complex Mask Pattern Fidelity: Conv. MDP Not Acceptable, MB-MDP: Great CDU driven Shot Number driven MB-MDP MB-MDP B.G. Kim, et al., Improving CD Uniformity using MB-MDP for 14nm and beyond, BACUS, 2012 Conventional MDP 14

Complex Mask Inspectablity: Conv. MDP: Out of Control, MB-MDP: Good Both of Shot Number and CDU-driven MB-MDP are clearly more effective in reducing size variations. Number of defects driven MB-MDP driven MB-MDP MDP Large 6 5 4 3 2 1 Small B.G. Kim, et al., Improving CD Uniformity using MB-MDP for 14nm and beyond, BACUS, 2012 15

Is MB-MDP Possible? Over 10X more computation than MB-OPC Mask scale 4X than wafer scale Requires optimization on fracturing Break the OPC pattern into shots Has to consider overlapped shots ebeam proximity effect has short (nm), mid, and long range (mm) 16

The Answer is GPU: -Scientific Computing Is Moving into GPU Jen-Hsun Huang, CEO of NVIDIA, GPU Technology Conference, 2015 17

D2S Has Built 400TFLOPS Computational Design Platform Using GPUs D2S Computational Design Platform (CDP) Could rank in the top 100 Super Computers in the Word (June, 2014) In production use Part of NuFlare EBM 9500 Simulates the entire mask plane All standard parts, with built-in redundancy 18

TrueMask MDP is a GPU Based General Simulation Platform Conventional Shot Generation Compatibility Overlapping Shot Generation Write-time reduction + CDU/LER improvement Dose Enhancement Linearity + CDU/LER improvement of narrow features Shape Correction Simulation-based general EPE Optimization Double Simulation GPU-accelerate Mask-Wafer double simulation for Wafer Plane analysis Simulation-Based Mask Verification and Hotspot Detection TrueModel mask models 19

Summary: Using GPUs, ILT Mask Shapes Prevail ILT is being deployed at 10nm node Mask makers is facing ILT masks Overlapped shots and MB-MDP enables VSB mask writer to write complex ILT masks Scientific computing is moving to GPU GPU-accelerated MB-MDP can meet the speed requirement of mass production MB-MDP and Dose Modulation will also offload mask inspection and review work 20

21