ECE 270 Lab Verification / Evaluation Form. Experiment 8

Similar documents
ECE 270 Lab Verification / Evaluation Form. Experiment 7

ECE 270 Lab Verification / Evaluation Form. Experiment 9

ECE 341. Lecture # 2

D Latch (Transparent Latch)

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

Physics 120 Lab 10 (2018): Flip-flops and Registers

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Note that none of the above MAY be a VALID ANSWER.

Logic Design. Flip Flops, Registers and Counters

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Physics 323. Experiment # 10 - Digital Circuits

P U Q Q*

Digital Circuits ECS 371

Digital Circuit And Logic Design I. Lecture 8

Digital Circuit And Logic Design I

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

Other Flip-Flops. Lecture 27 1

Unit-5 Sequential Circuits - 1

RS flip-flop using NOR gate

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Sequential Design Basics

Flip-Flops and Sequential Circuit Design

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

Chapter 5 Flip-Flops and Related Devices

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

10.1 Sequential logic circuits are a type of logic circuit where the output of the circuit depends not only on

Universal Asynchronous Receiver- Transmitter (UART)

Feedback Sequential Circuits

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Exercise 2: D-Type Flip-Flop

INTRODUCTION TO SEQUENTIAL CIRCUITS

Modeling Latches and Flip-flops

Digital Circuits I and II Nov. 17, 1999

Synchronous Sequential Logic

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab

Synchronous Sequential Logic

EET 1131 Lab #12 - Page 1 Revised 8/10/2018

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

ELCT201: DIGITAL LOGIC DESIGN

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

RS flip-flop using NOR gate

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Experiment 8 Introduction to Latches and Flip-Flops and registers

Lecture 8: Sequential Logic

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

Combinational vs Sequential

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25.

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Module -5 Sequential Logic Design

ASYNCHRONOUS COUNTER CIRCUITS

Digital Fundamentals: A Systems Approach

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Activity Sequential Logic: An Overview

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore)

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

CHAPTER 1 LATCHES & FLIP-FLOPS

IT T35 Digital system desigm y - ii /s - iii

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2006

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

EET 1131 Lab #10 Latches and Flip-Flops

UNIT IV. Sequential circuit

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Rangkaian Sekuensial. Flip-flop

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

LAB #4 SEQUENTIAL LOGIC CIRCUIT

Engr354: Digital Logic Circuits

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

First Name Last Name November 10, 2009 CS-343 Exam 2

CHAPTER 6 COUNTERS & REGISTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

Logic Gates, Timers, Flip-Flops & Counters. Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur

PRE J. Figure 25.1a J-K flip-flop with Asynchronous Preset and Clear inputs

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Unit 11. Latches and Flip-Flops

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

DIGITAL ELECTRONICS LAB MANUAL FOR 2/4 B.Tech (ECE) COURSE CODE: EC-252

CSE 352 Laboratory Assignment 3

CHAPTER 4: Logic Circuits

! Two inverters form a static memory cell " Will hold value as long as it has power applied

Sequential Logic. Sequential Circuits. ! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew

Laboratory 9 Digital Circuits: Flip Flops, One-Shot, Shift Register, Ripple Counter

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

ELCT201: DIGITAL LOGIC DESIGN

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008

EECS 140 Laboratory Exercise 7 PLD Programming

Transcription:

ECE 270 Lab Verification / Evaluation Form Experiment 8 Evaluation: IMPORTANT! You must complete this experiment during your scheduled lab period. All work for this experiment must be demonstrated to and verified by your lab instructor before the end of your scheduled lab period. STEP DESCRIPTION MAX SCORE Pre-lab 1 Sketch schematic of logic realized by the Verilog module 2 Pre-lab 2 Build circuit on breadboard 2 Step 1 Verify functionality of circuit and Verilog Description 2 Step 2 Test SR latch input combinations 2 Step 3 Create and test a D latch 2 Step 4 Create and test a negative edge-triggered D flip-flop 2 Step 5 Create and test a positive edge-triggered D flip-flop 2 Step 6 Complete 74HC74 function table 2 Step 7 Create a two-bit binary counter 2 Step 8 Print waveforms generated by counter 2 Step 9 Measure 74HC74 propagation delays 2 Step 10 Thought questions 3 TOTAL 25 Signature of Evaluator: Academic Honesty Statement: In signing this statement, I hereby certify that the work on this experiment is my own and that I have not copied the work of any other student (past or present) while completing this experiment. I understand that if I fail to honor this agreement, I will receive a score of ZERO for this experiment and be subject to possible disciplinary action. Printed Name: Class No. - Signature: Date:

Introduction to Sequential Circuits Instructional Objectives: To learn how to build and test simple feedback sequential circuits To learn the basic functionality of an edge-triggered D flip-flop To learn how to measure the propagation delay of an edge-triggered D flip-flop Pre-lab Preparation: Read this document in its entirety Review the material presented in Module 3 Lecture/Demonstration: Your lab instructor will give a brief presentation that includes the following: A review of the difference between a latch and a flip-flop A review of how to measure propagation delays Experiment Description: In this experiment, you will implement and test some simple sequential circuits that have been discussed in lecture. You will verify the operation of a typical edge-triggered D flip-flop and measure its timing parameters. Pre-lab Step (1): Examine the Verilog Module provided on the course website for this experiment and sketch a schematic of the logic that it realizes. HINT: This program realizes a bounceless switch, two SR latches with enables, and a D flip-flip (corresponding to always @(posedge ---) block ). Little Bits Lab Manual -2-2018 by D. G. Meyer

Pre-lab Step (2): Build the following circuit using your DIP switch, SPDT pushbutton, ATF22V10C, 74HC74, and RED (resistor) LEDs, leaving ample room around the PLD to easily remove it from the breadboard for reprogramming. Also install your 20 MHz oscillator module and connect it to power and ground (but leave its output unconnected for now). Little Bits Lab Manual -2-2018 by D. G. Meyer

Step (1): Synthesize the Verilog Module provided on the course web site using isplever TM, targeting it for a GAL22V10C device, and use the Universal Programmer at your lab station to burn the JEDEC file produced into your ATF22V10C PLD. Test the bounceless switch, making sure the BQ LED illuminates when the pushbutton is pressed. Verify that QFF operates as a positive edgetriggered D flip-flop: QFF should load the value input on D when the pushbutton is pressed, and remain that value when the pushbutton is released. Also verify that the 74HC74 output labeled QA mirrors that of the PLD s QFF output (make sure the active low CLR and PRE inputs of the 74HC74 are negated, i.e., high). Step (2): Test all the possible input combinations for the two SR latches ( QM and QS ) and verify that they function as expected. In particular, note the next state each SR latch goes to when the S R C input combination is changed from 1 1 1 to 1 1 0. Verify that QM and QM_N (as well as QS and QS_N) are not always complements of each other note the input combinations that cause both outputs to be the same value, and be prepared to explain what is happening. Checkpoint: Demonstrate circuit functionality and SR latch behavior to Lab Instructor. Step (3): Comment out the wire and port declarations for CM, RM, and SM (loc 5,6,7) and assign CM=CLKIN, SM=D, and RM=!D. Reprogram your PLD with the modified Verilog description and verify that you have created a D latch (the output of which is QM) that opens when the pushbutton is pressed (i.e., assumes the value input on D and follows it as long as the latch is open), and closes (retains its value) when the pushbutton is released. Also verify that QM and QM_N are always complements of each other. (QM is referred to as the master or input latch.) Step (4): Comment out the wire and port declarations for CS, RS, and SS (loc 2,3,4) and assign CS=!CLKIN, SS=QM, and RS=QM_N. Reprogram your PLD with the modified Verilog description and verify that you have created a negative edge-triggered flip-flop (the output of which is QS) that reads its D input when the pushbutton is pressed and changes state when the pushbutton is released. Also verify that QS and QS_N are always complements of each other. (QS is referred to as the slave or output latch.) Checkpoint: Demonstrate negative edge-triggered flip-flop to Lab Instructor. Step (5): Swap the polarity of the master and slave latch enables, i.e., assign CM=!CLKIN and CS=CLKIN. Reprogram your PLD with the modified program and verify that you have created a positive edge triggered flip-flop that reads the D input when the pushbutton is released and changes state when the pushbutton is pressed. Also verify that QS and QS_N are always complements of each other and that the behavior of QS mirrors that of outputs QFF and QA. Checkpoint: Demonstrate positive edge-triggered flip-flop to Lab Instructor. Little Bits Lab Manual -3-2018 by D. G. Meyer

Step (6): Referring to the 74HC74 data sheet provided on the course web site (under References), verify that the D flip-flop designated QA (Q output on pin 5) operates according to the published function table. Be sure to try clocking the flip flop (using the pushbutton) when either the PRE (preset) or CLR (clear) input is asserted low. Record your observations in the table below (refer to the schematic to determine the DIP switches used to provide the PRE and CLR signals). PRE (pin 4) CLR (pin 1) Inputs CLK (pin 3) D (pin 2) L H X X H L X X L L X X H H H H H L H H L X H H H X H H X Q (pin 5) Outputs Q (pin 6) Step (7): Disconnect pin 2 of the 74HC74 from the DIP switch and reconnect it to pin 6 of the 74HC74 (i.e., connect the QA flip-flop s Q output to its D input). Assert the CLR inputs of the two D flip flops (with the PRE inputs negated) and verify that both QA and QB clear to 0. Assert the PRE inputs of the two D flip flops (with the CLR inputs negated) and verify that both QA and QB set to 1. Next, negate both the PRE and CLR inputs and clock the circuit multiple times (by pressing the pushbutton); observe the sequence of values generated on QA and QB. Also, note what happens if either the PRE or CLR inputs are asserted while the circuit is being clocked. Be prepared to describe what the circuit is doing and explain why. Checkpoint: Verify table entries and demonstrate QA and QB behavior to Lab Instructor. Step (8): Disconnect pin 3 of the 74HC74 from the BQ output of the ATF22V10C and reconnect it to the output of the 20 MHz oscillator module (i.e., connect the QA flip-flop s CLK input to the output of the 20 MHz oscillator). Disconnect the QA and QB LEDs (from pins 5 and 9 of the 74HC74, respectively) and connect Channel 1 of the oscilloscope to pin 5 of the 74HC74 and Channel 2 to pin 9. Observe the output waveforms produced on the oscilloscope and determine the frequency relationship between them. Print the waveforms produced and have them available for your lab instructor to check. Little Bits Lab Manual -4-2018 by D. G. Meyer

Step (9): Connect Channel 1 of the oscilloscope to pin 11 of the 74HC74 and Channel 2 to pin 9. Measure the tplh(c Q) and tphl(c Q) of the flip-flop. Next, disconnect Channel 2 of the oscilloscope from pin 9 of the 74HC74 and reconnect it to pin 8. Measure the tplh(c QN) and tphl(c QN) of the flipflop. Record your results below. tplh(c Q) = tphl(c Q) = tplh(c QN) = tphl(c QN) = Checkpoint: Show waveform printouts and timing measurement results to Lab Instructor. Step (10): Place your answers to the following thought questions in the space provided below: (a) In your own words, explain the difference in behavior between a latch and a flip-flop. (b) With respect to the observed behavior of the Q and Q_N outputs, what differences (if any) are there between the S and R inputs of an SR latch and the PRE and CLR inputs of the 74HC74? (c) How do the C Q rise and fall propagation delays you measured for the 74HC74 compare with its published specifications? (Refer to the data sheets provided on the course web site under References.) Is it possible to build a latch or flip-flop with a balanced rise and fall propagation delay? Why or why not? Little Bits Lab Manual -5-2018 by D. G. Meyer