Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Similar documents
VLSI IEEE Projects Titles LeMeniz Infotech

An MFA Binary Counter for Low Power Application

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Design of Fault Coverage Test Pattern Generator Using LFSR

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

An Efficient High Speed Wallace Tree Multiplier

Design of Memory Based Implementation Using LUT Multiplier

Testing Sequential Circuits

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

VLSI System Testing. BIST Motivation

FPGA Design. Part I - Hardware Components. Thomas Lenzi

High Performance Carry Chains for FPGAs

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

Microprocessor Design

Static Timing Analysis for Nanometer Designs

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Design of BIST with Low Power Test Pattern Generator

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

L12: Reconfigurable Logic Architectures

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

[2 credit course- 3 hours per week]

Using on-chip Test Pattern Compression for Full Scan SoC Designs

FPGA Design with VHDL

WINTER 15 EXAMINATION Model Answer

An Efficient Reduction of Area in Multistandard Transform Core

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

Fault Detection And Correction Using MLD For Memory Applications

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

True Random Number Generation with Logic Gates Only

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

LFSR Counter Implementation in CMOS VLSI

FPGA Implementation of DA Algritm for Fir Filter

Testing Digital Systems II

Field Programmable Gate Arrays (FPGAs)

I. INTRODUCTION. S Ramkumar. D Punitha

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Overview: Logic BIST

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

Computer Architecture and Organization

ISSN:

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Reconfigurable Neural Net Chip with 32K Connections

Implementation of Memory Based Multiplication Using Micro wind Software

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

L11/12: Reconfigurable Logic Architectures

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

Midterm Exam 15 points total. March 28, 2011

SIC Vector Generation Using Test per Clock and Test per Scan

ALONG with the progressive device scaling, semiconductor

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

DIGITAL ELECTRONICS MCQs

Scan. This is a sample of the first 15 pages of the Scan chapter.

VU Mobile Powered by S NO Group

A Fast Constant Coefficient Multiplier for the XC6200

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Analogue Versus Digital [5 M]

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

Why FPGAs? FPGA Overview. Why FPGAs?

Implementation of Low Power and Area Efficient Carry Select Adder

UNIT IV CMOS TESTING. EC2354_Unit IV 1

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

A Symmetric Differential Clock Generator for Bit-Serial Hardware

TKK S ASIC-PIIRIEN SUUNNITTELU

TYPICAL QUESTIONS & ANSWERS

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters

FPGA Hardware Resource Specific Optimal Design for FIR Filters

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

11. Sequential Elements

Notes on Digital Circuits

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Designing Fir Filter Using Modified Look up Table Multiplier

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

A New Low Energy BIST Using A Statistical Code

Transcription:

S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power Configurable Adder for Approximate Applications A Low-Power High-Speed Accuracy-Controllable Approximate Multiplier Design Optimizing Power-Accuracy trade-off in Approximate Adders A Simple Yet Efficient Accuracy- Configurable Adder Design A Low Power CMOS Temperature Sensor Frontend for RFID Tags Low-Power Addition With Borrow-Save Adders Under Threshold Voltage Variability A Low-Power Yet High-Speed Configurable Adder for Approximate Computing Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error- Tolerant Applications Improving Error Correction Codes for Multiple-Cell Upsets in Space Applications A Double Error Correction Code for 32-bit Data Words with Efficient Decoding An Efficient VLSI Architecture for Convolution Based DWT Using MAC High Speed Power Efficient Carry Select Adder Design Design of Majority Logic (ML) Based Approximate Full Adders High Performance VLSI Architecture for Transpose Form FIR Filter using Integrated Module Fault-tolerant design and analysis of QCA based circuits Unbiased Rounding for HUB Floating-point Addition Scalable Construction of Approximate Multipliers With Formally Guaranteed Worst Case Error Combined Pseudo-Exhaustive and Deterministic Testing of Array Multipliers

20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. Nonlinear Binary Codes and Their Utilization for Test A High-performance and Area-efficient VLSI Architecture for the PRESENT Lightweight Cipher A Novel approach for design of Real Time Traffic Control System using Verilog HDL An efficient way of implementing high speed 4-Bit advanced multipliers in FPGA An Inter-Layer Interconnect BIST Solution for Monolithic 3D ICs Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications Built-in Test for Hidden Delay Faults Design and Verilog HDL Implementation of Carry Skip Adder Using Kogge-Stone Tree Logic High Speed Efficient Multiplier Design using Reversible Gates High-Performance NTT Architecture for Large Integer Multiplication Inexact Arithmetic Circuits for Energy Efficient lot Sensors Data Processing A High Performance Scan Flip-Flop Design for Serial and Mixed Mode Scan Test A Single and Adjacent Error Correction Code for Fast Decoding of Critical Bits Automotive functional safety assurance by post with sequential observation Parallel Pseudo-Exhaustive Testing of Array Multipliers with Data-Controlled Segmentation Logic BIST with Capture-per-Clock Hybrid Test Points Flexible Architecture of Memory BISTs Efficient Implementations of 4-Bit Burst Error Correction for Memories Towards Efficient Modular Adders based on Reversible Circuits Systematic Design of an Approximate Adder: The Optimized Lower Part Constant-OR Adder

40. 41. 42. 43. 44. 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. Design, Implementation and Verification of 32-Bit ALU with VIO All Optical Design of Hybrid Adder Circuit Using Terahertz Optical Asymmetric Demultiplexer A Novel Reversible Synthesis of Array Multiplier Area and Power Efficient VLSI Architecture of Distributed Arithmetic Based LMS Adaptive Filter FIR filter design based on FPGA An Approach to LUT Based Multiplier for Short Word Length DSP Systems FPGA Implementation of an Improved Watchdog Timer for Safety-critical Applications Chip Design for Turbo Encoder Module for In-Vehicle System BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA A Novel Design of Flip-Flop Circuits using Quantum Dot Cellular Automata (QCA) Application of Bit-Serial Arithmetic Units for FPGA Implementation of Convolutional Neural Networks Design and simulation of CRC encoder and decoder using VHDL/verilog Time to Digital Converter Based on a Ring Oscillator with Even Number of Non- Inverting Elements A Channel-Sharable Built-In Self-Test Scheme for Multi-Channel DRAMs Random Number Generation with LFSR Based Stream Cipher Algorithms Characterization of Clock Buffers for On-Chip Inter-Circuit Communication in Xilinx FPGAs Design and Implementation of the Algorithm for RB Multiplication to Derive High- Throughput Digit-Serial Multipliers FPGA Realization of Speech Encryption Based on Modified Chaotic Logistic Map VLSI Implementation of Channel Estimation for Millimeter Wave Beam forming Training Heuristic based Majority/Minority Logic Synthesis for Emerging Technologies

60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 1. 2. A Low Error Energy-Efficient Fixed-Width Booth Multiplier with Sign-Digit-Based Conditional Probability Estimation Energy-Efficient Approximate Multiplier Design using Bit Significance-Driven Logic Compression A Parallel, Energy Efficient Hardware Architecture for the meraligner on FPGA using Chisel HCL Area and Performance Evaluation of Central DMA Controller in Xilinx Embedded FPGA Designs Design of Low Power Multiplierless Linear-Phase FIR Filters Algorithm for Constructing Minimal Representations of Multiple-output Boolean Functions in The Reversible Logic Circuits FPGA Implementation of Matrix-Vector Multiplication Using Xilinx System Generator Design and Implementation of Arithmetic and Logic Unit (ALU) using Novel Reversible Gates in Quantum Cellular Automata Design of Power and Area Efficient Approximate Multipliers Low-Power Approximate MAC Unit Efficient Design-for-Test Approach for Networks-on-Chip Integrating BIST techniques for on-line SoC testing A Reliable Strong PUF Based on Switched-Capacitor Circuit Reducing the Hardware Complexity of a Parallel Prefix Adder Research and implementation of hardware algorithms for multiplying binary numbers Division circuits using reversible logic gates Backend Low Power 1-Bit Full Adder Using Full-Swing Gate Diffusion Input Technique Low-Power and Fast Full Adder by Exploring New XOR and XNOR Gates

3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. Low Power 4 4 Bit Multiplier Design using Dadda Algorithm and Optimized Full Adder Positive Feedback Symmetric Adiabatic Logic against Differential Power Attack Soft-Error Tolerant Design in Near-Threshold-Voltage Computing Stateful Memristor-Based Search Architecture CMOS circuit techniques for Mm wave communications Approximate Fully Connected Neural Network Generation Low Power 4-Bit Arithmetic Logic Unit Using Full-Swing GDI Technique FPAP: A Folded Architecture for Efficient Computing of Convolutional Neural Networks Analysis of Optimization Techniques for Low Power VLSI Design Low Power GDI ALU Design with Mixed Logic Adder Functionality Design of Reversible Full subtractor using new Reversible EVNL gate for Low Power Applications Low Leakage Fully Half-Select-Free Robust SRAM Cells with BTI Reliability Analysis Fractional-Order Differentiators and Integrators with Reduced Circuit Complexity High speed and low power preset-able modified TSPC D flip-flop design and performance comparison with TSPC D flip-flop A Low-Power High-Speed Comparator for Precise Applications High-Density SOT-MRAM Based on Shared Bitline Structure Two-Phase Read Strategy for Low Energy Variation-Tolerant STT-RAM Enabling Fast Process Variation and Fault Simulation Through Macromodelling of Analog Components A SEU/MBU Tolerant SRAM Bit Cell Based on Multi-Input Gate

22. 23. 24. 25. Design of low power magnitude comparator Design of Reversible Full subtractor using new Reversible EVNL gate for Low Power Applications High-performance engineered gate transistor-based compact digital circuits Sense-Amplifier-Based Flip-Flop With Transition Completion Detection for Low- Voltage Operation PROJECT SUPPORTS FOR STUDENTS: PROJECTABSTRACT PROJECT IEEE BASE PAPER/ REFERENCEPAPER PROJECTPRESENTATIONINPPTFORMAT PROJECTREVIEWASSISTANCEFORVIVA PROJECTDIAGRAMS PROJECT SOURCECODE PROJECTREPORT PROJECT SCREENSHOTS PROJECTDEMO PROJECTEXPLANATION CONTACT DETATILS: Landline: 0877-2261612 Mobile: (0)9030333433 ADDRESS: 301, 303, AVR Complex, Balaji Colony, TIRUPATHI 517502

Web: www.takeoffprojects.com Email: takeoffstudentprojects@gmail.com info@takeoffprojects.com