Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Similar documents
Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

An FPGA Implementation of Shift Register Using Pulsed Latches

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

A Power Efficient Flip Flop by using 90nm Technology

LFSR Counter Implementation in CMOS VLSI

Reduction of Area and Power of Shift Register Using Pulsed Latches

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Current Mode Double Edge Triggered Flip Flop with Enable

Noise Margin in Low Power SRAM Cells

ISSN Vol.08,Issue.24, December-2016, Pages:

A Symmetric Differential Clock Generator for Bit-Serial Hardware

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

A Low-Power CMOS Flip-Flop for High Performance Processors

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Low Power Area Efficient Parallel Counter Architecture

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Low Power D Flip Flop Using Static Pass Transistor Logic

Design of an Efficient Low Power Multi Modulus Prescaler

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Implementation of Low Power and Area Efficient Carry Select Adder

Comparative study on low-power high-performance standard-cell flip-flops

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

ECE321 Electronics I

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

WINTER 15 EXAMINATION Model Answer

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of Shift Register Using Pulse Triggered Flip Flop

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

II. ANALYSIS I. INTRODUCTION

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

IN DIGITAL transmission systems, there are always scramblers

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

CMOS DESIGN OF FLIP-FLOP ON 120nm

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

Static Timing Analysis for Nanometer Designs

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Figure.1 Clock signal II. SYSTEM ANALYSIS

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

ISSN:

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER

COMP2611: Computer Organization. Introduction to Digital Logic

Transcription:

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the circuit subthreshold CMOS logic circuits is becoming increasingly popular energy- constrained applications where high performance is not required. Minimum energy consumption of digital logic circuits can be obtained by operating in the subthreshold regime. However, in this regime process variations can result in up to order of magnitude variations in I ON / I OFF ratios leading to timing errors, which can have a destructive effect on the functionality of the subthreshold circuits. These timing errors become more frequent in scaled technology nodes where process variations are highly prevalent. Therefore, mechanisms to mitigate these timing errors while minimizing the energy consumption are required. In this proposed work, Low power subthreshold logic circuits using adaptive feedback equalizer circuit is designed. The adaptive equalizer technique is used with a sequential digital logic to mitigate the process variation effects and reduce the dominant leakage energy components in the subthreshold digital logic circuits. The performance of the proper circuit is evaluated for different input voltages, other work for 4 bit Ripple Carry Adder in designed using to the logic gate size and power is discussed in the simulation is successfully in verified with the help of Microwind and Dsch tool. Keywords: Adaptive Feedback equalizer, Low power subthreshold, variable threshold inverter, Ripple Carry Adder. I. INTRODUCTION The subthreshold digital CMOS logic circuits are becoming increasingly popular in energy-constrained applications where high performance is not required. The main idea here is that scaling down the supply voltage can significantly reduce the dynamic energy consumed by digital circuits. Scaling down the supply voltage also lower down the current due to a reduction in the drain-induced barrier lowering (DIBL) effect. Moreover, the supply voltage is scaled below the threshold voltage of the transistors, the propagation delay of the logic gates increases, which in turn increases the leakage energy of the transistors. These two opposite trends in the leakage and the dynamic energy components lead to a minimum energy supply voltage that occurs below the threshold voltage of the transistors for digital logic circuits [1]. However, digital logic circuits operating in the subthreshold region suffer from process variations that directly affect the threshold voltage (V T ). This, in turn, has a significant impact on the drive current due to the relationship between the drive current and the threshold voltage of the transistors is the sub threshold regime. Moreover, subthreshold digital circuits suffer from the degraded I ON / I OFF ratios [2] resulting in a failure in providing rail-to-rail output swings when restricted by aggressive timing constraints. These degraded I ON / I OFF ratios and process-related variations make subthreshold circuits highly susceptible to timing errors that can further lead to complete system failures. Since the standard deviation of V T varies inversely with the square root of the channel area [3], one approach to overcome the process variation is to upsize the transistors [2]. Alternately, one can increase the logic path depth to leverage the statistical averaging of the delay across gates [4] to overcome process variations. These approaches, however, increase the transistor parasitics, which in turn increases the energy consumption. In this paper, we first propose the use of a feedback equalizer circuit for lowering the energy consumption of digital logic operating in the subthreshold region while achieving robustness equivalent to that provided by [2]. Here, the feedback equalizer circuit (placed just before the flip-flop) adjusts the switching threshold of its inverter based on the output of the flip-flop in the previous cycle to reduce the charging/discharging time of the flip-flop s input capacitance. Moreover, the smaller input capacitance of the feedback equalizer reduces the switching time of the last gate in the combinational logic block. Overall, this reduces the total delay of the sequential logic, which makes it more robust to timing errors and allows aggressive clocking to reduce the dominant leakage energy. In addition to reducing Page 3

energy consumption, we also demonstrate how the tuning capability of the equalizer can be used to enable extra charging/discharging paths for the flip-flop input capacitance after fabrication to mitigate timing errors resulting from worse than expected process variations in the subthreshold digital logic. In general, our approach of using feedback equalizer to lower energy consumption and improve robustness is independent of the methodology used for designing a combinational logic block operating in the subthreshold regime. The main contributions of this paper are as follows. 1) We propose using an adaptive feedback equalizer circuit in the designing subthreshold digital logic circuits. This adaptive feedback equalizer circuit can reduce energy consumption and improve the performance of the subthreshold digital logic circuits. 2) We present detailed analytical models (AMs) for performance and energy of the adaptive feedback equalizer circuit. The models can be easily used in combination with the existing performance and energy model for subthreshold logic circuits to generate designs that meet energy and performance constrains. II. ADAPTIVE EQUALIZED FLIP-FLOPVERSUS CONVENTIONAL FLIP-FLOP In this section, we first explain the use of the adaptive feedback equalizer circuit and the design of an Adaptive equalized flip-flop (E-flip-flop) and then provide a detailed comparison of the E-flip-flop with the conventional flip-flop in terms of area, setup time, and performance. The proposed there is the use of a variable threshold inverter [5] as an adaptive feedback equalizer along with the classic master-slave positive-edge-triggered flip-flop [6] (Fig. 2) to design an adaptive E-flip-flop. This adaptive feedback equalizer circuit consists of two feed forward transistors (M1 and M2 in Fig. 1) and four control transistors (M3 and M4 for feedback path 1 Table I: Propagation Delay Min.supply Voltage (mv) Delay NE-logic (ns) Delay E-logic (ns) Delay Buffer NE-logic (ns) 950 681.5 881 681.2 900 681.2 880.8 681.1 850 681 880.4 680.5 800 680.4 880 680 750 680 879 679 650 678 877 679 620 677 876 675 550 672 872 665 Table II: Leakage Power Min.supply Voltage Leakage Power E-logic(µW) Leakage Power NE-logic (µw) Leakage Power Buffer (mv) NE(µW 950 6.1 6.6 4.8 900 4.9 6.0 4.3 850 4.5 4.2 4.9 800 3.7 4.0 4.9 750 3.3 3.7 4.7 650 2.6 3.0 4.5 620 2.4 2.8 4.2 550 2.2 2.0 2.9 TABLE III: Power Delay Product Min supply voltage (mv) PDP NE-logic (nw) PDP E-logic (nw) PDP buffer NE-logic (nw) 950 4157.2 5814.6 3269.7 900 3338 5284.4 2928.7 850 3064.5 3697.6 3332.6 800 2516.4 3520 3334.4 750 2244 3252.3 3191.3 650 1762.8 2631 3055.5 620 1624.8 2452.8 2835 550 1478.4 1744.2 1928.5 Page 4

The adaptive E-flip-flop has eight more transistors than the conventional master slave flip-flop [29]. Compared with a classic master slave flip-flop with 22 transistors [seven inverters and four transmission gates (TGs)], the area overhead of the adaptive E-flip-flop is 36%.The area overhead of the control latch with ten transistors (three inverters and two TGs) 45%. This area overhead gets amortized across the entire sequential logic block. Fig 1: Circuit diagram of classic master-slave positive edge- triggered flip-flop Fig 2: Simulation result of classic master-slave positive-edge-triggered flip-flop Page 5

Fig 3: Block diagram of (a) original nonequalized design, (b) equalized design with one feedback path ON, and (c) bufferinserted nonequalized design. Fig 4: Propagation Delay Fig 5: Leakage Power Page 6

Fig 6: Power Delay Product III. 4 BIT RIPPLE CARRY ADDER Logical circuit with multiple full adders can be used for adding N-bit each full adder input a Cin, which is the Cout of the previous adder. Such kind of adder is known as Ripple Carry Adder. RCA in digital electronics is that circuit which produces the arithmetic sum of two binary numbers which can be constructed with full adders connected in cascaded with the carry output from each full adder connected to the carry input of the next full adder in the chain as shown fig 1.8 From which it can be noticed that bits a0and b0 in the fig represent Carry Adder, each carry bit ripples to the next full adder. the least significant bits of the number which is to be added and sum in form output represented by the bits (s0-s3). A design of RCA based on NAND gate and is a symbol is done simply. Fig 7: RCA in NAND gate Circuit diagram Page 7

TABLE IV: RCA in Truth table INPUT INPUT OUTPUT OUTPUT A B C in SUM CARRY 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 IV. RESULT AND DISCUSSION Fig 8: Layout in RCA Fig 9: Analog simulation result in RCA Page 8

Fig 10: FPGA Output V. POWER ANALYSIS The leakage power, propagation delay and the product of power and delay are shown in Table (I,II, III) When the input voltage is reduced. The propagation delay of an equalized flip flop is reduced from 681.5µs to 672µs. The proposed low power subthreshold logic circuits are used for Adaptive Feedback Equalizer circuit and 4 bit RCA can be designed. The RCA can be used for the logic circuit that calculates the power delay and reduces the power consumption. VI. CONCLUSION The proposed adaptive feedback equalizer circuit to reduce the normalized variation of propagation delay along the critical path and the dominant leakage power of the digital CMOS logic operating in the subthreshold regime. Adjusting the circuit switching threshold of the gates before the flip-flop based on the gate output in the previous cycle, the adaptive feedback equalizer circuit enables a faster switching of the gate outputs and provides the opportunity to reduce the leakage power of digital logic in weak inversion region. The adaptive feedback equalization circuit to the propagation delay of an equalized flip-flop from 681.ns to 672ns, the power consumption of Equalized flip-flop from 6.6µW to 2.0µW and Power Delay product reduced in different input voltage. The NAND gate used for the 4 bit Ripple Carry Adder designed using logic gate size power is discussed in the simulation is successfully verified. REFERENCES [1] Wang and A. Chandrakasan, A 180-mV subthreshold FFT processor using a minimum energy design methodology, IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 310 319, Jan. 2005. [2] J. Kwong, Y. K. Ramadass, N. Verma, and A. P. Chandrakasan, A 65 nm sub-v t microcontroller with integrated SRAM and switched capacitor DC-DC converter, IEEE J. Solid-State Circuits, vol. 44, no. 1, pp.115-126, Jan. 2009. [3] M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G. Welbers, Matching properties of MOS transistors, IEEE J. Solid-State Circuits, vol. 24, no. 5, pp. 1433 1439, Oct. 1989. [4] N. Verma, J. Kwong, and A. P. Chandrakasan, Nanometer MOSFET variation in minimum energy subthreshold circuits, IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 163 174, Jan. 2008. Page 9

[5] D. Schinkel, E. Mensink, E. A. M. Klumperink, E. van Tuijl, and B. Nauta, A 3-Gb/s/ch Transceiver for 10-mm uninterrupted RC-limited global on-chip interconnects, IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 297 306, Jan. 2006. [6] Kim and V. Stojanovi c, A 4 Gb/s/ch 356 fj/b 10 mm equalized on-chip interconnect with nonlinear chargeinjecting transmit filter and transimpedance receiver in 90 nm CMOS, in IEEE Int. Solid-State Circuits Conf.-Dig. Tech. Papers (ISSCC), Feb. 2009, pp. 66 67, 67a. [7] N. Lotze, M. Ortmanns, and Y. Manoli, Variability of flip-flop timing at sub-threshold voltages, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Design (ISLPED), Aug. 2008, pp. 221 224. [8] J. Zhou, S. Jayapal, B. Busse, L. Huang, and J. Stuyt, A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library, in Proc. 48th ACM/EDAC/IEEE Design Autom. Conf. (DAC), pp. 441-446, Jun. 2011. [9] Liu, M. Ashouei, J. Huisken, and J. P. de Gyvez, Standard cell sizing for subthreshold operation, in Proc. 49th ACM/EDAC/IEEE Design Autom. Conf. (DAC), Jun. 2012, pp. 962 967. [10] N. Jayakumar and S. P. Khatri, A variation-tolerant sub-threshold design approach, in Proc. 42nd Design Autom. Conf., Jun. 2005, pp. 716-719. [11] B. Mishra, B. M. Al-Hashimi, and M. Zwolinski, Variation resilient adaptive controller for subthreshold circuits, in Proc. Design, Autom., Test Eur. Conf. Exhibit. (DATE), Apr. 2009, pp. 142 147. [12] Sicard, Ssfa; and S. M. Aziz, Microwind application notes for 90-nm, 65-nm, 45-nm and 32-nm technologies", http://www.microwind.org.,(2014). [13] Jeong W. and Roy K., Robust high power adder, Proc. of the Asia and South Pacific Design Automation Conference, 503-506, (2003). [14] Weste Neil H.E. and M. David Harris, CMOS VLSI Design: A Circuits and Systems Perspective, Fourth Edition. Boston: Pearson/Addison-Wesley, (2010). Page 10