TKK S ASIC-PIIRIEN SUUNNITTELU

Similar documents
Scan. This is a sample of the first 15 pages of the Scan chapter.

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Design for Testability

At-speed Testing of SOC ICs

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test


Overview: Logic BIST

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Slide Set 14. Design for Testability

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Static Timing Analysis for Nanometer Designs

Unit V Design for Testability

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Level and edge-sensitive behaviour

Sharif University of Technology. SoC: Introduction

2.6 Reset Design Strategy

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

L11/12: Reconfigurable Logic Architectures

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

Digital Integrated Circuits Lecture 19: Design for Testability

Chapter 8 Design for Testability

Tolerant Processor in 0.18 µm Commercial UMC Technology

L12: Reconfigurable Logic Architectures

Why FPGAs? FPGA Overview. Why FPGAs?

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

IC Mask Design. Christopher Saint Judy Saint

Simulation Mismatches Can Foul Up Test-Pattern Verification

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Achieving Timing Closure in ALTERA FPGAs

Innovative Fast Timing Design

K.T. Tim Cheng 07_dft, v Testability

Design of Fault Coverage Test Pattern Generator Using LFSR

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Radiation Hardening By Design

DEDICATED TO EMBEDDED SOLUTIONS

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

Lecture 18 Design For Test (DFT)

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

4. Formal Equivalence Checking

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Clocking Spring /18/05

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Cascadable 4-Bit Comparator

At-speed testing made easy

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

11. Sequential Elements

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

VirtualScan TM An Application Story

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

Based on slides/material by. Topic Testing. Logic Verification. Testing

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Lecture 10: Sequential Circuits

Digital Systems Design

Chapter 2 Clocks and Resets

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

EEM Digital Systems II

DFT Timing Design Methodology for At-Speed BIST

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

VLSI System Testing. BIST Motivation

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

A video signal processor for motioncompensated field-rate upconversion in consumer television

SoC Design Flow from DFT Engineers angle

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Testing Sequential Circuits

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

Figure.1 Clock signal II. SYSTEM ANALYSIS

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EECS150 - Digital Design Lecture 2 - CMOS

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Testing Digital Systems II

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

Performance Modeling and Noise Reduction in VLSI Packaging

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

EITF35: Introduction to Structured VLSI Design

9. Synopsys PrimeTime Support

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Retiming Sequential Circuits for Low Power


Enhanced JTAG to test interconnects in a SoC

Transcription:

Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis and Formal verification 5. Design For Test 6. Floor-planning 7. Physical Synthesis 8. Clock tree synthesis 9. Placement & Routing 10. Manufacturing

Terminology ASIC Vendor Company who performs layout, creates masks, manufactures and tests chips and handles logistics E.g. Toshiba, NEC, IBM, Motorola, ST Fabless ASIC Vendor Company who performs layout, possibly creates masks, and handles logistics but sub-contracts manufacturing and testing. E.g. E-silicon Fab (Fabric) Company who manufactures chips, possibly also creates masks and tests the chips E.g. UMC, TSMC Traditional flow Terminology Designer provides synthesized netlist to ASIC vendor ASIC Vendors performs layout and provides back annotation to designer Designer performs timing analysis Customer Owned Tool (COT) flow Today, big design houses (old Customers of ASIC vendors) may have the layout tools in order to have more control on the layout process (time, price, ) Design houses perform in COT flow layout and provide layout results (GDSII data base) for manufacturing.

RTL to Parts flow 1. Logic synthesis 2. Pre-layout Static Timing Analysis 3. Test structure insertion 4. Test pattern generation 5. Floorplan 6. Physical synthesis 7. Clock tree insertion 8. Routing 9. Post layout Static timing analysis 10. Manufacturing Logic synthesis Logic synthesis phase contains 1. Design constraints creation 2. RTL synthesis i.e. converting RTL HDL code into netlist 3. JTAG insertion 4. IO pads and Hard macro (RAMs, CTS buffers) insertion Reasonable block size for synthesis is < 50-100 Kgates. Bigger blocks may require too much time to complete, making iterations too slow (> 4 hrs). Big designs are synthesized bottom up: First sub-blocks then connecting them together

Logic synthesis 1. Constraining Defining IO delays Target clock frequency Operation conditions (process, voltage, temperature, PVT) BCCOM, WCMIL, WCIND Area / power targets Max fan out IO drive capability / load Net delay estimation : wire load model 1. Constraining example Logic synthesis

1. Constraining example Logic synthesis Logic synthesis 2. RTL synthesis Analysis, checking the syntax Elaboration, converting HDL into generic gates Mapping into target technology Scan flip-flops can be inserted automatically Top-down for small designs Bottom up for big designs with timing budgeting

Logic synthesis 2. RTL synthesis : Top-down versus Bottom up methodology Local constraints RTL RTL Global constraints SubA synth SubB synth SubC synth STA STA STA constraints met? SubA SubB SubC Top Level synth Global constraints Top Level synth STA constraints met? STA Next step constraints met? Next step Logic synthesis 2. RTL synthesis Checking if constraints were met Timing Area Fanout Testability Used cells Optimization Flattening, grouping Changing constraints In Place Optimization (IPO) after place and route Scaling Buffing

Logic synthesis 2. RTL synthesis Timing report example: Logic synthesis 3. BScan insertion Boundary scan controller insertion Bscan cell insertion 4. IO pad insertion and Hard Macro insertion Often done in Top-Level VHDL code by hand Can be done by synthesis tools (script)

Static Timing Analysis Checking that the timing criteria are met Orders of magnitude faster than simulations No need for simulation vectors Capasity of millions of gates How STA works MY_DESIGN A CLK Path 1 D Q FF1 Path 2 D Q FF2 Path 3 QB QB Path 4 Z Design is broken down into sets of timing paths Delays and slews on each path are propagated and computed Path delays are checked to see if timing constraints are met

STA output Textual or graphical reports Whether or not design meets frequency Types of constraints violated: Setup/hold, clock gating glitches Min period, max transition, etc. How many paths violated Violation magnitudes Complete, traced signal paths Forward annotation and constrain information for P&R (SDF,SDC) Formal verification Equivalent checking between two models: proves mathematically that two designs have the same functionality Orders of magnitude faster than simulations No need for simulation vectors Capasity of millions of gates No timing verification

How formal verification works Compare points are then mapped: End points of logic cones (compare points) are primary outputs, registers, and black-box inputs Formal verification tools translate your designs into boolean equations, then compares the two equations, then verifies the logic driving each cone BB D Q Reference Design CP CP CP BB D Q Implementation Design DFT: Test structure insertion Inserting SCAN flip-flops normally done at synthesis phase Insertion of RAM BIST Insertion of logic BIST Scan chains insertion Definition of Scan inputs and outputs Definition of number of scan chains and maximum lengths Insertion of test logic to by-pass non-scan-testable logic (clock dividers, plls etc.)

DFT: Test pattern generation ATPG generation fault coverage target >95% IDDQ patterns for quiescent current leakage measurement Functional pattern generation, from simulation cases BSCAN pattern generation Test vectors can be simulated to verify operation Test vector generation tool provides test benches Parallel simulation, no shifting, fast Serial simulation very slow (weeks) Fault simulation Simulating the simulations coverage. As a result, tool will tell what is the fault coverage with the applied stimulus. Floorplan Floorplan defines sub-block placement on die Floorplan defines Chip boundaries IO placement Sub-block size, shape, orientation and placement Hard macro placement Power / Ground grids

Physical Synthesis By integrating the synthesis and placement into one tool, we can avoid iterations between synthesis and P&R. Optimizing the logic according to the actual placement Takes floorplan as input Places the cells, based on floorplan, estimates the routing and sizes the cells to meet timing requirements. Output is netlist with placement information, no detailed routing info. Can be done top-down for small designs < 1MG, bottomup for big designs. Physical synthesis 1. Front-end timing is becoming unreliable With traditional flows, all nets with the same fanout have the same estimated interconnect delay during front-end design Delay Fanout

Physical synthesis 1. Front-end timing is becoming unreliable 2. Placement can change timing dramatically After placement, it is obvious that nets with the same fanout will not have the same interconnect delay Logical View Physical View Physical synthesis 1. Front-end timing is becoming unreliable 2. Placement can change timing dramatically 3. Detailed routing has only a minor effect when good global routing is done to model interconnect After Placement After Routing

Inserting clock tree Clock Tree Synthesis Guarantees Setup and hold times for FFs Small clock skew in order to logic to operate correctly Big clock skew in order minimize simultaneous switching taking too much power Clock tree can take huge amount of power Placement & Routing Possible changes in Placement after CTS Routing Connecting cell with each other and to Ios The bigger the design the more difficult is to meet timing Routing can not overcome bad placement, synthesis or RTL problems Things to be taken into account Parallel wires, distance, capacitance Congestion Antenna effects Power / GND routing (IR drop) Obstructions

Chip finishing Modify silicon area to meet manufacturing requirements GDSII generation Layout vs schematic (LVS) check Possible optimizations to get better yield 1. Mask generation 2. Creating die, layer after layer Manufacturing First layers forms the transistors Metal layers create interconnects Chip can have 3 to 8 layers If design contains a bug, it may be possible to correct by changing only the metal layers 3. Testing the chip 4. Packaging and shipment to customer

Technology choises Ga, Analog and Full Custom technologies for very niche products Standard cell Predefined cells (and, and2, and3, or ) are used on chip upon design need Hard macros as needed (Rams, high speed Ios. Etc.) Very good utilization, performance, power etc. Gate array Cells, including hard macros are pre-existing on silicon and connected upon design need Cheaper NRE, slower, and higher part cost vs. SC.