Low Power Single Edge Triggered D Flip Flop Based Shift Registers Using 32nm Technology

Similar documents
2GHz High Performance Double Edge Triggered D-Flip Flop Based Shift Registers In 32NM CMOS Technology

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES

Design of Low Power Universal Shift Register

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

CMOS DESIGN OF FLIP-FLOP ON 120nm

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Single Edge Triggered Static D Flip-Flops: Performance Comparison

Introduction. Serial In - Serial Out Shift Registers (SISO)

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

DIGITAL REGISTERS. Serial Input Serial Output. Block Diagram. Operation

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

II. ANALYSIS I. INTRODUCTION

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

LFSR Counter Implementation in CMOS VLSI

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Power Optimization by Using Multi-Bit Flip-Flops

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

CHAPTER 6 COUNTERS & REGISTERS

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Chapter 4. Logic Design

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

A Power Efficient Flip Flop by using 90nm Technology

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Sequential Logic Basics

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

SHIFT REGISTER USING CNT FET BASED ON SENSE AMPLIFIER PULSED LATCH FOR LOW POWER APPLICATION

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Design of Shift Register Using Pulse Triggered Flip Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

Low Power D Flip Flop Using Static Pass Transistor Logic

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

ELEN Electronique numérique

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

ADVANCES in NATURAL and APPLIED SCIENCES

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

An FPGA Implementation of Shift Register Using Pulsed Latches

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

IT T35 Digital system desigm y - ii /s - iii

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

CHAPTER 1 LATCHES & FLIP-FLOPS

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

Design of an Efficient Low Power Multi Modulus Prescaler

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Transcription:

Low Power Single Edge Triggered D Flip Flop Based Shift Registers Using 32nm Technology Mathan.N 1, Ravi.T 2, Kannan.V 3 1 II Year M.Tech, VLSI Design, Sathyabama University, Chennai. 2 Asst.Professor, Department of ECE, Sathyabama University, Chennai. 3 Principal, Jeppiaar Institute of Technology, Kunnam, Chennai. 1

Abstract In this paper, analysis of average power, delay and power delay product is done for various shift registers(siso, SIPO, PISO and PIPO)using 32nm technology. Low power flip-flops are crucial for the design of low-power digital systems. As Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices are scaled down to nanometer ranges, Complementary MOS (CMOS) circuit s total Power consumption has a new definition. Due to integration of millions of components and shrinking process technology, nowadays leakage power tends to play a major role in total power consumption. In this paper, the shift registers are designed using 32nm technology with 1GHz and 2GHz frequencies and their performance are analysed. Keywords --- CMOS, MOSFET, D Flip Flop, Shift Registers, Single Edge Triggered flip flop, Power Consumption, Delay, Power Delay Product. convergence to a mobile society. We are moving from laptops to tablets and smaller computing systems. With this profound trend continuing, and without a matching trend in battery life expectancy, the more low power issues will have to be addressed. This entails that low power tools and methodologies have to be developed and adhered to. The current trends will eventually mandate low power design automation on a very large scale to match the trends of power consumption of today s integrated chips.[10] The 32 nanometer (32 nm) node is the step following the 45 nanometer process in CMOS semiconductor device fabrication. Intel and AMD both produced commercial microchips using the 32 nanometer process in the early 2010s. IBM and the Common Platform also developed a 32 nm high-k metal gate process. Intel began selling its 32 nm processors as Core i3, Core i5, and the dual-core mobile Core i7. In this paper the background information about flip-flop based shift registers design and its characteristics are analysed. 1. Introduction The development of microelectronics spans a time which is even lesser than the average life expectancy of a human, and yet it has seen as many as four generations. Early 60 s saw the low density fabrication processes classified under small scale integration (SSI) in which transistor count was limited to about 10. This rapidly gave way to medium scale integration in the late 60 s when around 100 transistors could be placed on a single chip[16].the system on chip (SoC) design will integrate hundreds of millions of transistors on one chip, whereas packaging and cooling only have a limited ability to remove the excess heat [2]. So large amount of heat should not be dissipated. Thus low power design is the need of today's integrated systems. The low power design is also needed for the applications operated by batteries such as pocket calculators, wrist watches, mobile phones, laptops etc. It is important to prolong the battery life as much as possible. Flip flops are the basic storage elements used extensively in all kinds of digital designs. As the feature size of CMOS technology process shrinks according to Moore s Law, designers are able to integrate more transistors onto the same die. The more transistors there are the more switching and the more power dissipated in the form of heat or radiation. Heat is one of the most important packaging challenges in this era; it is one of the main drivers of low power design methodologies and practices. Another mover of low power research is the reliability of the integrated circuit. More switching implies higher average current is flowing and therefore the probability of reliability issues occurring rises. The most important prime mover of low power research and design is our 2. Single Edge Triggered D-Flip Flop The Low Power SET D-flip flop is shown in figure 1.This flip-flop is basically a Master Slave flip flop structure and it consists of two data paths. Basically, n-type pass transistors give weak high but in figure 1, the n-type pass transistors are followed by an inverter, which results in strong high. Thus the low power SET D-Flip Flop in figure 1 is free from threshold voltage loss problem of pass transistors. Thus the low power Single Edge Triggered D-Flip-Flop has become more efficient in terms of area, power and speed which claim for better performance than conventional designs. FIG.1 LOW POWER SET D FLIP FLOP[1] 3. Shift Registers Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes the input of the next flip-flop. Most of the registers possess no characteristic internal sequence of states. All flipflop is driven by a common clock. There are different kinds of shift registers. * Serial in serial out shift register. 2

*Serial in parallel out shift register. *Parallel in parallel out shift register. *Parallel in serial out shift register. The storage capacity of a register is the total number of bits (1 or 0) of digital data it can retain. Each stage (flip flop) in a shift register represents one bit of storage capacity. Therefore the number of stages in a register determines its storage capacity. 3.1 Serial in Serial out Shift Register The serial in/serial out shift register accepts data serially that is, one bit at a time on a single line. It produces the stored information on its output also in serial form. And the basic serial in and serial out shift register is show in fig 2. The data string is presented at 'din', and is shifted right one stage each time 'data advance' is brought high. At each advance, the bit on the far left (i.e. 'Din') is shifted into the first flip-flop's output. The bit on the far right (i.e. 'Dout') is shifted out and lost. The data are stored after each flip-flop on the 'q' output, so there are four storage 'slots' available in this arrangement, hence it is a 4-bit register. 3.3 Parallel in Serial out Shift Register This configuration has the data input on lines d1 through d4 in parallel format. To write the data to the register, the write/shift control line must be held low. To shift the data, the w/s control line is brought high and the registers are clocked. The arrangement now acts as a piso shift register, with d1 as the data input. However, as long as the number of clock cycles is not more than the length of the data-string, the data output, q, will be the parallel data read off in order. And the parallel inserial out is shown in fig 4. FIG 4: PARALLEL IN SERIAL OUT SHIFT REGISTER 3.4 Parallel in Parallel out Shift Register For parallel in - parallel out shift registers, all data bits appear on the parallel outputs immediately following the simultaneous entry of the data bits. The following circuit is a four-bit parallel in - parallel out shift register constructed by d flip-flops and shown in fig 5. FIG 2: SERIAL IN-SERIAL OUT SHIFT REGISTER 3.2 Serial in Parallel out Shift Register This configuration allows conversion from serial to parallel format. Data is input serially, as described in the siso section above. Once the data has been input, it may be either read off at each output simultaneously, or it can be shifted out and replaced. Serial in parallel out diagram is shown in fig 3. FIG 5: PARALLEL IN PARALLEL OUT SHIFT REGISTER FIG 3: SERIAL IN-PARALLEL OUT SHIFT REGISTER 4.Simulation Results To evaluate the performance, shift registers discussed in this paper are designed using 32-nm CMOS technology. All simulations are carried out using HSPICE simulation tool at nominal conditions with 1GHz frequency range. The simulated waveform of the Low Power SET flip-flop based shift registers is shown in Fig.6,7,8 & 9. 3

and power delay product (PDP). In general, a PDP based comparison is appropriate for low power portable systems in which the battery life is the primary index of energy efficiency. The following TABLE 1 & TABLE 2 furnished the performance parameters for different range of frequencies 1GHZ and 2 GHZ. TABLE 1: OPERATING FREQUENCY AT 1GHz FIG.6 :OUTPUT WAVEFORM FOR SISO SHIFT AVG DELAY PDP REG. POWER(W) (s) (J) SISO 2.082e-05 3.015n 6.27e-14 SIPO 2.082e-05 12.34p 25.6e-17 1.015n 2.016n 3.015n 2.11e-14 4.19e-14 6.27e-14 PIPO 7.810e-06 13.467p 105.12e- 18 FIG.7 :OUTPUT WAVEFORM FOR SIPO PISO 7.347e-06 3.029n 22.2e-15 TABLE 2: OPERATING FREQUENCY AT 2GHz SHIFT AVG DELAY PDP REG. POWER(W) (s) (J) SISO 2.692e-05 2.012n 5.41e-14 SIPO 2.692e-05 14.794p 39.8e-17 FIG.8 :OUTPUT WAVEFORM FOR PISO 0.515n 1.014n 2.012n 1.38e-14 2.72e-14 5.41e-14 PIPO 9.711e-06 14.184p 137.7e- 18 PISO 4.541e-06 2.024n 9.19e-15 FIG.9 :OUTPUT WAVEFORM FOR PIPO 5.Performance Analysis The performance of the shift registers is evaluated by comparing the average power, delay 6.Conclusion In this paper, we have designed low power single edge triggered D-FF based shift registers design using 32nm CMOS technology. The shift registers are simulated with different clock frequencies 1GHz and 2GHz.The shift registers design is efficient by comparing average power, delay and power delay product. Hence by performance analysis the low power single edge 4

triggered D-FF based shift registers design is efficient for low power applications. References [1] Mathan.N, T.Ravi, " Design and Analysis of Low Power D Flip Flop". [2] Dr. Sunil P Khatri and Sivakumar Ganesan," A Modified Scan-D Flip-flop Design to Reduce Test Power". [3] Ahmed Sayed and Hussain Al- Asaad." A New low power high performance flip-flop". [4] Mounir ZID, Carlo PISTRITTO, Rached TOURKI and Alberto SCANDURRA," A New Low- Power N Fold Flip-Flop With Output Enable". [5] Hongli Gao Fei Qiao Dingli Wei Huaz hong Yang," A Novel Low-Power and High- Speed Master- Slave D Flip-Flop". [6] Jinn-Shyun Wung, Po-Hui Yung, " A Pulse- Triggered TSPC Flip-Flop for High-speed Low- Power VLSI Design Applications". [7] Manoj sharma, Dr Arti Noor." An Area and Power Efficient design of Single Edge Triggered D-Flip Flop". [8] D.Markovi, B.Nicolic and R.W Borders on, "Analysis and design of low energy flip flops". [9] Imran Ahmed Khan, Owais Ahmad Shah and Mirza Tariq Beg," Analysis of Different Techniques for Low Power Single Edge Triggered Flip Flop". [10] R.Udaiyakumar, K.Sankaranarayan,Certain Investigations on Power Dissipation in various Nano-Scale CMOS D Flip- Flop Structures". [11] Vladimir Stojanovic, Vojin Oklobdzija and Raminder Bajwa," Comparative Analysis of Latches and Flip- Flops for High- Performance Systems". [12] Vladimir Stojanovic and Vojin G. Oklobdzija," Comparative Analysis of Master Slave Latches and Flip- Flops for High-Performance and Low-Power Systems. [13] Ch. Daya Sagar and T. Krishna Moorthy," Design of a Low Power Flip-Flop Using MTCMOS Technique". [14] A.G.M. Strollo, E. Napoli, D. De Caro, "New Clock- Gating Techniques for Low-Power Flip- flops". [15] Manisha Sharma, K. G. Sharma, Tripti Sharma, "SET D-Flip Flop Design for Portable Applications". [16] S. Jagannathan, T. D. Loveless, B. L. Bhuva, S.-J. Wen, R. Wong, M. Sachdev, D. Rennie, and L. W. Massengill., "Single-Event Tolerant Flip-Flop Design in 40-nm Bulk CMOS Technology" [17] Ahmed Sayed and Hussain Al- Asaad," Survey And Evaluation Of Low -Power Flip- Flops". First Author: Mathan.N was born in Neyveli, Tamilnadu, India in 1989. He received his Bachelor Degree in Electronics and Communication Engineering from Anna University, Chennai in the year 2010.Currently he is doing M.Tech VLSI DESIGN in Sathyabama University, Chennai. His interested areas are Nano Electronics, VLSI Design, Low Power VLSI Design and Advanced Digital System Design. He has Research publications in International Journals. Second Author: Ravi.T was born in Namakkal, Tamilnadu, India in 1978. He received Master Degree in Applied Electronics from Sathyabama Deemed University in the year 2004. Currently he is doing PhD in Sathyabama University. He is working as Assistant Professor in Department of VLSI Design in Sathyabama University. His interested areas of research are Nano Electronics, VLSI Design, Low Power VLSI Design and Mixed Signal circuits. He has Research publications in National / International Journals / Conferences. He is a member of VLSI Society of India. Third Author: Kannan.V was born in Ariyalore, Tamilnadu, India in 1970. He received his Bachelor Degree in Electronics and Communication Engineering from Madurai Kamaraj University in the year 1991, Master Degree in Electronics and control from BITS, Pilani in the year 1996 and Ph.D., from Sathyabama University, Chennai, in the year 2006. His interested areas of research are Optoelectronic Devices, VLSI Design, Nano Electronics, Digital Signal Processing and Image Processing. He has 140 Research publications in National / International Journals / Conferences to his credit. He has 21 years of experience in teaching and presently working as Principal, Jeppiaar Institute of Technology, Kunnam, Tamilnadu, India. He is a life time member of ISTE. 5