Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Similar documents
Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

PHYS 3322 Modern Laboratory Methods I Digital Devices

Laboratory 8. Digital Circuits - Counter and LED Display

Lab #11: Register Files

ME 515 Mechatronics. Introduction to Digital Electronics

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore)

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

EET 1131 Lab #10 Latches and Flip-Flops

Experiment # 12. Traffic Light Controller

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

Principles of Computer Architecture. Appendix A: Digital Logic

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

UNIVERSITI TEKNOLOGI MALAYSIA

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours

Digital Circuits I and II Nov. 17, 1999

Registers and Counters

Laboratory 7. Lab 7. Digital Circuits - Logic and Latching

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays

LAB #4 SEQUENTIAL LOGIC CIRCUIT

1. Convert the decimal number to binary, octal, and hexadecimal.

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Computer Architecture and Organization

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Elwin Cabrera May 11, 2016 DIGITAL CLOCK. ECE271/CSC222 Final Project Report

North Shore Community College

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

Chapter 7 Counters and Registers

Chapter 11 State Machine Design

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

Registers and Counters

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

Part IA Computer Science Tripos. Hardware Practical Classes

CHAPTER 4 RESULTS & DISCUSSION

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

Logic Design. Flip Flops, Registers and Counters

Serial In/Serial Left/Serial Out Operation

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

EE 200 Problem Set 3 Cover Sheet Fall 2015

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

Operating Manual Ver.1.1

EE 367 Lab Part 1: Sequential Logic

Chapter 3: Sequential Logic Systems

Asynchronous (Ripple) Counters

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Copyright 2011 by Enoch Hwang, Ph.D. and Global Specialties. All rights reserved. Printed in Taiwan.

Lab #12: 4-Bit Arithmetic Logic Unit (ALU)

Microprocessor Design

Physics 323. Experiment # 10 - Digital Circuits

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

Contents Circuits... 1

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem.

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

EXPERIMENT #6 DIGITAL BASICS

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab

Computer Systems Architecture

Counters

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

OFC & VLSI SIMULATION LAB MANUAL

PHY 351/651 LABORATORY 9 Digital Electronics The Basics

To design a sequential logic circuit using D-Flip-flop. To implement the designed circuit.

NAND/NOR Implementation of Logic Functions

FPGA-BASED EDUCATIONAL LAB PLATFORM

Logic Design Viva Question Bank Compiled By Channveer Patil

Experiment 8 Introduction to Latches and Flip-Flops and registers

Flip-Flops and Sequential Circuit Design

Part IA Computer Science Tripos. Hardware Practical Classes

Chapter 4: One-Shots, Counters, and Clocks

Introduction. Serial In - Serial Out Shift Registers (SISO)

Digital Fundamentals: A Systems Approach

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering

1. Synopsis: 2. Description of the Circuit:

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

Operating Manual Ver.1.1

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB

Laboratory Exercise 7

CHAPTER 4: Logic Circuits

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

EKT 121/4 ELEKTRONIK DIGIT 1

Mission. Lab Project B

Chapter 5 Synchronous Sequential Logic

DIGITAL ELECTRONICS: LOGIC AND CLOCKS

MC9211 Computer Organization

Chapter 2. Digital Circuits

Transcription:

School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of Electrical Engineering SKEE 2742 SCHOOL OF ELECTRICAL ENGINEERING FACULTY OF ELECTRICAL ENGINEERING UTM JOHOR BAHRU DIGITAL ELECTRONICS LABORATORY TRAFFIC LIGHT SYSTEM (STUDENT PACK) Prepared by : Laboratory academic coordinator Approved by Name : Name : Signature & Stamp : Signature & Stamp : Director : Date : Date :

West Junction East Junction SKEE 2742 Digital Lab 2/17 1. INTRODUCTION In this lab exercise, a 4-junction traffic light system as shown in Figure 1 will be designed, built, simulated and verified using Quartus and CPLD (Complex Programmable Logic Device). Generally, the 4-junction traffic light system has three types of signalling (total of 10 signalling) and two tiers of sensor (total of 8 sensors) described in Table 1. For design simplification, yellow signal is not considered in the system, and for the arrow and pedestrian signals, no red signals are present. Besides, there is also a one digit 7 segment display to display the countdown timer for each signalling duration. Which signalling and sensors required for each group of students depend on the specifications that will be given to them at the second week. Table 1: Signalling and sensor No Type Signalling / Sensor Symbol 1. Junction without turn signal 2. Junction with turn signal All direction: L EA (east junction), L WA (west junction) Right turn: L NR (north junction), L SR (south junction) Forward: L NF (north junction), L SF (south junction) Left turn: L NL (north junction), L SL (south junction) 3. Pedestrian signal Crossing: L EP (east junction), L WP (west junction) 4. Vehicle detector sensor First tier: S N1, S E1, S S1 and S W1 Second tier: S N2, S E2, S S2 and S W2 5. Timer display 1 digit 7 segment display North Junction L WP S N2 S N1 L EP L NR L NF L NL L WA S E1 S E2 S W1 S W2 L SL L SF L SR L EA L WP S S1 S S2 L EP South Junction Figure 1

SKEE 2742 Digital Lab 3/17 To design the traffic light system, the circuit shown in Figure 2 should be followed. There are three modules in the circuit consisting of controller, slow clock and timer circuits. The controller will control the signalling sequence, the slow clock circuit is to reduce the CPLD high speed clock of 50 MHz to the approximately 1 Hz clock and the timer is to set the duration of each signalling. SENSORS S[7..0] CONTROLLER SIGNALLING L[9..0] TIMER TRIGGER 50 MHz SLOW CLOCK 1 Hz PL TCO TIMER (COUNTER) Figure 2 2. TOOLS AND EQUIPMENT Table 2 lists the tools and equipment needed to perform the four weeks experiment. Table 2: Tools and Equipment No Tool / Equipment Remark 1. Breadboard Own purchase 2. Digital Trainer Available at Digital lab 3. Schematic Capture Software (Multisim / KiCad / etc.) 4. ICs and Electronic components Available at Digital Lab Multisim is available at Basic Electronic lab (P04-level 3) KiCAD can be downloaded from kicad-pcb.org 5. Quartus II version 13.0sp1 Can be downloaded from http://fpgasoftware.intel.com/ 6. CPLD Own purchased (can be purchased at Digital lab). 7. Wire/cable Own purchase

SKEE 2742 Digital Lab 4/17 3. SCHEDULE This lab should be performed in 4 weeks as the followings: WEEK 1 : WEEK 2 : WEEK 3 : WEEK 4 : 2-digit decimal counter Circuit prototyping on breadboard Traffic light controller Ver.01 Design the given traffic light specifications using Quartus sate machine editor Traffic light controller Ver.02 Design the given traffic light specifications using one hot method Traffic light system Program the traffic light controller Ver.02 on CPLD 4. APPLICATION NOTE Below is the list of application notes that can be used as a guide to perform the tasks given in this lab sheet. These application notes can be downloaded from the Digital Lab website. Table 3: Application notes Application Note AN1 AN2 AN3 AN4 AN5 AN6 AN11* AN12* Title Introduction to Breadboarding How to Draw Schematic Diagram Getting Started with Quartus EPM240 Board Finite State Machine with State Machine Editor One Hot State Machine with Block Diagram Editor Serial Adder with Quartus LPM Serial Adder with Custom Modules * Additional application notes which might be useful.

WEEKLY TASKS Semester : Group : Supervisor : Group Member: M1 M2 M3 M4

SKEE 2742 Digital Lab 6/17 WEEK 1 2-DIGIT DECIMAL COUNTER W1.1 Objectives 1. To expose student to circuit implementation using discrete IC. 2. To familiarize students with data sheets and prototyping schematic drawings. 3. To introduce students to the implementation of prototyping using breadboard and digital trainers. W1.2 Instruction You are required to design and built a 1-digit decimal down counter from decimal value A to decimal value B on breadboard (refer AN1). Values of A and B will be given before the lab session, which will be available on the lab s website. Figure W1.1 shows a general circuit of the counter, which consist of three main circuits namely counter circuit, terminal count detector circuit and BCD to 7-segment decoder circuit. Refer to Section 1.3 and 1.4 for the detail instructions. Note that this counter circuit is the timer circuit shown on Figure 2. Decade Counter BCD to 7-Segment Decoder CLK P[3..0] PL തതതത Q[3..0] [D,C,B,A] 74192 7447 Terminal Count Detector TCO Figure W1.1 W1.3 Pre-Lab Activities No Task Checked by Marks 1 Design the terminal count detector circuit shown in Figure W1.1 using NAND gate. /4 2 Download standard datasheets for 74192, 7447/8, 7400 and 7-Segment Display and show the evidence to the supervisor. /2 3 Answer the following questions. a) What is the maximum supply voltage for the 74LS family? /2

SKEE 2742 Digital Lab 7/17 b) What is the assumed logic value if the TTL input is not connected? c) Which pins must be high to enable counting for IC 74192? d) What is the suitable resistor value for the 7-segment display? 4 Draw the schematic diagram for the circuit shown in Figure W1.1 using schematic capture software (refer Table 2). The drawing should include labels for DC supply and I/O pin numbers as in the actual IC pin configuration (Refer AN2). /6 * Supervisor should check whether the pre-lab items have been completed before the lab activity started by signing the right column of above the table. /2 /2 /2 W1.4 In Lab Activities No Task 1 Connect a BCD to 7-segment decoder circuit using IC 7447 on breadboard. Then, test and verify each of the circuit using digital trainer. 2 Connect a counter circuit using IC 74LS192 on breadboard to count down from 9 to 0. Then, test and verify each of the circuit using digital trainer. Do not combine the counter circuits with the 7-segment decoder circuit yet. Instead, test the circuit as a separate module. 3 Connect the terminal count detector circuit designed in pre-lab on breadboard. Then integrate the circuit with all of the task 1 and task 2 circuits according to your circuit design and schematic drawing. Then, test and verify the overall circuit using digital trainer. *Estimated time to complete each of the above task is one hour. Supervisor should at least check the work at the end of every hour and comment on the progress of each task in the given column.

SKEE 2742 Digital Lab 8/17 W1.5 Discussion Discuss strategies and observation in completing the tasks in Section W1.4. W1.6 Conclusion Statement on whether the output met the design criteria, evaluation on the effectiveness of the steps taken to complete the tasks and if the task is not completed, explain why things did not go as expected. *Use separate sheet if space provided for discussion and conclusion is not sufficient.

SKEE 2742 Digital Lab 9/17 WEEK 2 TRAFFIC LIGHT CONTROLLER DESIGN USING STATE MACHINE EDITOR W2.1 Objectives 1. To design state diagram based on a given specification. 2. To enter and compile a state diagram using Quartus State Machine Editor. 3. To simulate and verify the state machine. W2.2 Instruction Referring to Figure 2, week 2 will focus only on the design of the controller module. As shown in Figure W2.1, the slow clock and the timer circuit are disconnected from the controller where the controller module will be simulated and verified as a separate unit. Based on the specification given to your group, performed all task described in Section W2.3 and W2.4 using Quartus (refer AN3). SENSORS S[7..0] CONTROLLER L[9..0] CLK 50 MHZ SLOW CLOCK 1 HZ PL TCO TIMER (COUNTER) Figure W2.1 W2.3 Pre-Lab Activities No Task Checked by Marks 1 Referring to AN5, simulate the state machine example using Quartus State Machine Editor. Demo the simulation to the supervisor at the start of the lab session. /8 2 Referring to AN5, answer the following questions: a) How many states are required to implement the Moore type vending machine?

SKEE 2742 Digital Lab 10/17 b) Using binary state encoding, how many flip flops are required? c) In page 3 of Quartus new project wizard, is it important to select the device family for state machine functional simulation? d) Which type of simulation is performed in the AN5? * Supervisor should check whether the pre-lab items have been completed before the lab activity started by signing the right column of above the table. W2.4 In Lab Activities No Task 1 Design and draw a state diagram based on the given specification on A4 size paper. 2 Enter and compile the state diagram using Quartus State Machine Editor. 3 Simulate and verify the state machine. *Estimated time to complete each of the above task is one hour. Thus, supervisor should at least check the work at the end of every hour and comment on the progress of each task in the given column. *Attach all results to this lab sheet.

SKEE 2742 Digital Lab 11/17 W2.5 Discussion Discuss strategies and observation in completing the tasks in Section W2.4. W2.6 Conclusion Statement on whether the output met the design criteria, evaluation on the effectiveness of the steps taken to complete the tasks and if the task is not completed, explain why things did not go as expected. *Use separate sheet if space provided for discussion and conclusion is not sufficient.

SKEE 2742 Digital Lab 12/17 WEEK 3 TRAFFIC LIGHT CONTROLLER DESIGN VER.02 W3.1 Objectives 1. To design a traffic light controller circuit using One Hot method. 2. To build and verify a circuit using Quartus block diagram editor. 3. To simulate and verify the integrated traffic light controller circuit and the timer circuit. W3.2 Instruction This week will focus on the design of the controller and timer circuits without the slow clock circuit connected. However, compared to week 2, the controller will be designed as a one hot state machine using block diagram editor. Design the traffic light controller with the specification given at week 2. Detail tasks are described in Section W3.3 and W3.4. Use Quartus to build, simulate and verify the circuits. D[3..0] CONTROLLER Q[3..0] SENSORS RESET S[7..0] NEXT STATE LOGIC CLOCK FLIP- FLOPS OUTPUT LOGIC L[9..0] TRIGGER 50 MHZ SLOW CLOCK CLOCK PL TCO TIMER (COUNTER) [a..g] Figure W3.1 W3.3 Pre-Lab Activities No Task Checked by Marks 1 Derive next state equation and output equation based on week 2 state diagram (refer AN6). /8 2 Answer the following questions: a) How many flip-flops required for one hot controller with 4 states? b) Which pin of the down counter IC will be active when the counter reach zero.?

SKEE 2742 Digital Lab 13/17 c) At any time, how many flip-flops will produce output high? d) In a particular one hot design, State A is always followed by state B (unconditional transition). What is the label on the arrow from A to B? * Supervisor should check whether the pre-lab items have been completed and correct before the lab activity started by signing the right column of above the table. W3.4 In Lab Activities No Task 1 Referring to Figure W3.1, build the timer circuit as a separate module. The module should have one clock input, one parallel load input pin (PL), one terminal count output pin (TCO) and seven output to be connected to the 7 segment display. Then, create a symbol file from the timer circuit. Basically, the design is similar to week 1 timer circuit but without the terminal count circuit. 2 Based on the next state and output equations derived from the pre-lab, build, simulate and verify the traffic light controller circuit. Finally, create a symbol file from the controller circuit. 3 Integrate the traffic light controller circuit with the timer circuit. Then, simulate and verify the overall circuit. *Estimated time to complete each of the above task is one hour. Thus, supervisor should at least check the work at the end of every hour and comment on the progress of each task in the given column. *Attach all results to this lab sheet.

SKEE 2742 Digital Lab 14/17 W3.5 Discussion Discuss strategies and observation in completing the tasks in Section W3.4. W3.6 Conclusion Statement on whether the output met the design criteria, evaluation on the effectiveness of the steps taken to complete the tasks and if the task is not completed, explain why things did not go as expected. *Use separate sheet if space provided for discussion and conclusion is not sufficient.

SKEE 2742 Digital Lab 15/17 WEEK 4 TRAFFIC LIGHT SYSTEM W4.1 Objectives 1. To build a circuit using Quartus text editor. 2. To verify a slow clock circuit using CPLD with LED. 3. To complete the traffic light system. W4.2 Instruction Week 4 is to complete the whole circuit as shown in Figure W4.1 and program the circuit to CPLD (refer AN4). Then, the completed CPLD based traffic light system will be tested on a traffic light board available in the lab. Detail tasks are described in Section W4.3 and W4.4. CONTROLLER Q[3..0] D[3..0] SENSORS RESET S[7..0] NEXT STATE LOGIC FLIP- FLOPS OUTPUT LOGIC L[9..0] TRIGGER 50 MHZ SLOW CLOCK 1 HZ PL TCO TIMER (COUNTER) [a..g] Figure W4.1 W4.3 Pre-Lab Activities No Task Checked by Marks 1 Referring to AN4, build the LED blinker using Quartus text editor and program it to CPLD to demonstrate the 1 Hz clock. Demo the blinker to the supervisor at the start of the lab session. /8 2 Referring to AN4, answer the following question: a) What is the operating voltage of the CPLD?

SKEE 2742 Digital Lab 16/17 b) What is the frequency of the slow clock? c) To get the 1 Hz cycle at the slow clock output, what is the divisor? d) What is the pin number for the CPLD 50MHz clock? * Supervisor should check whether the pre-lab items have been completed before the lab activity started by signing the right column of above the table. W4.4 In Lab Activities No Task 1 Integrate the slow clock (built from the pre-lab) and the timer module (built from week 3). Since the controller module is not present at this stage, connect the timer output TCO to the input PL. Then, program the module to CPLD and verify the output by connecting the CPLD output to a 7 segment display on a breadboard. 2 Integrate the traffic light controller ver.02 with the circuit in task 1. Next, program the integrated module to CPLD. 3 Demo the Traffic Light System on the traffic light board. *Estimated time to complete each of the above task is one hour. Thus, supervisor should at least check the work at the end of every hour and comment on the progress of each task in the given column.

SKEE 2742 Digital Lab 17/17 W4.5 Discussion Discuss strategies and observation in completing the tasks in Section W4.4. W4.6 Conclusion Statement on whether the output met the design criteria, evaluation on the effectiveness of the steps taken to complete the tasks and if the task is not completed, explain why things did not go as expected. *Use separate sheet if space provided for discussion and conclusion is not sufficient.