COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Similar documents
Self-Aligned Double Patterning for 3xnm Flash Production

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Readiness and Challenges of EUV Mask

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Nano-Imprint Lithography Infrastructure: Imprint Templates

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Overcoming Challenges in 3D NAND Volume Manufacturing

Because Innovation Matters

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

PROGRESS OF UV-NIL TEMPLATE MAKING

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Lower BW and its impact on the patterning performance

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Recent results of Multi-beam mask writer MBM-1000

Approaching Zero Etch Bias at Cr Etch Process

The Transition to Patterned Media in Hard Disk Drives

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Auto classification and simulation of mask defects using SEM and CAD images

FinFETs & SRAM Design

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Deep Silicon Etch Technology for Advanced MEMS Applications

SEMICONDUCTOR TECHNOLOGY -CMOS-

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

SEMICONDUCTOR TECHNOLOGY -CMOS-

Wafer defects can t hide from

ABSTRACT 1 INTRODUCTION

Lossless Compression Algorithms for Direct- Write Lithography Systems

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Multi-Shaped E-Beam Technology for Mask Writing

The Challenges in Making NIL Master Templates

Introduction and recent results of Multi-beam mask writer MBM-1000

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

High-Performance Technologies for an Analog-Centric World

Sharif University of Technology. SoC: Introduction

Electron Beam Technology

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Superpose the contour of the

Layout Analysis Analog Block

Advanced Display Manufacturing Technology

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

High performance optical blending solutions

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Reducing CCD Imaging Data

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

Wafer Thinning and Thru-Silicon Vias

Photocathodes FLASH: Quantum Efficiency (QE)

WP36BHD T-1 (3mm) Blinking LED Lamp

Digital Light Processing

Nanotechnology Solutions Partner

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

White Paper. Discone Antenna Design

Extremely high sensitivity Live Video Imaging using the SamBa SE-34

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

CMP and Current Trends Related to Advanced Packaging

1. Publishable summary

GENUINE PARTS ! CAUTION

Sections 1. Application Equipment 2. Cable Preparation 3. Assembly 4. Termination Procedure 5. Inspection 6. Repair 7.

2.1. Log on to the TUMI system (you cannot proceed further until this is done).

Characterisation of the far field pattern for plastic optical fibres

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Cathode Studies at FLASH: CW and Pulsed QE measurements

First LHC Beams in ATLAS. Peter Krieger University of Toronto On behalf of the ATLAS Collaboration

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Installation Manual IPT Installation of skillet systems with 125 A track current. MV a-E.

ANALYSING DIFFERENCES BETWEEN THE INPUT IMPEDANCES OF FIVE CLARINETS OF DIFFERENT MAKES

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

Advance Certificate Course In Audio Mixing & Mastering.

Focused-ion-beam fabrication of nanoplasmonic devices

Emerging Memory Technologies

Performance of a double-metal n-on-n and a Czochralski silicon strip detector read out at LHC speeds

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

24. Scaling, Economics, SOI Technology

Advanced laser technology. Company presentation

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

HB LEDs & OLEDs. Complete thin film process solutions

Using tapers at the beginning and end of the time series to which pads will be added before filtering

CSC Data Rates, Formats and Calibration Methods

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Transcription:

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES

EUV 193i COMPARISON VEHICLE FOR 24NM HALF PITCH 2 level BEOL vehicle M0/V0/M1/V1/M2 with Product Like layout 193i and EUV versions for Pattering Assessment M1 LE 3 EUV SP V0/V1 LE 2 EUV SP M2 SADP+Keep EUV SP 9-193i Masks Fields V0 LE 2 M1 LE 3 V1 LE 2 M2 Core M2 Keep 4 - EUV Masks Fields All single exposure 2

ADVANCED LITHOGRAPHY CLUSTERS IMMERSION EUV ASML NXT:1950 Sokudo DUO (since Mar 12 and continuing ASML NXE:3100 TEL Lithius Pro (from Oct 11 till Feb 15 ASML NXT:1970 TEL Lithius Pro Zi (since April 15 ASML NXE:3300 TEL Lithius Pro Z EUV (Since July 2015 3

4 COMPARING PROCESS COMPLEXITY

COMPARING PROCESS COMPLEXITY 193i LE 3 PRINTS 42NM LINES ON 144NM PITCH LEA LEB LEC Litho Shrink in SOG SOC etch Oxide etch Strip TiN etch 5

COMPARING PROCESS COMPLEXITY 193i LE 3 PRINTS 42NM LINES ON 144NM PITCH LEA 27 LEB Proces s Steps LEC Litho Shrink in SOG SOC etch Oxide etch Strip TiN etch 6

COMPARING PROCESS COMPLEXITY 193i SADP+KEEP USES 48NM LINES ON 96NM PITCH Core Litho Core etch/trim Core Etch, Trim and And SiOC SiOCstrip strp Spacer dep Dep Spacer Etch etch and Core pull Keep Litho Shrink SOG etch in SOG and PR strip SOC etch and and SOG strip strip TiN TiN Open Etch and and SOC Strip strip 7

COMPARING PROCESS COMPLEXITY 193i SADP+KEEP USES 48NM LINES ON 96NM PITCH 18 Core Litho Core etch/trim Core Etch, Trim and And SiOC SiOCstrip strp Proces Spacer dep Dep s Steps Spacer Etch etch and Core pull KeepLitho Shrink SOG etch in SOG and PR strip SOC etch and and SOG strip strip TiN TiN Open Etch and and SOC Strip strip 8

COMPARING PROCESS COMPLEXITY EUV SP PRINTS 24NM LINES ON 48NM PITCH Litho UL etch Oxide etch PR Strip TiN etch 9

COMPARING PROCESS COMPLEXITY EUV SP PRINTS 24NM LINES ON 48NM PITCH 8 Proces s Steps Litho UL etch Oxide etch PR Strip TiN etch 10

Cost (a.u. COMPARING PROCESS COMPLEXITY COST MODEL 450 400 350 300 250 200 150 100 50 0 Lithography Depostion Metallization DryEtch WetClean CMP Metrology 4% 5% 4% 6% 9% 5% 9% 6% 18% 5% 18% 8% 21% 23% 23% 4% 5% 7% 38% 48% 34% MxLE^3_VxLE^2 MxSADP+SP-Blk_Vx-LE^2 MxEUV-SP_VxEUV-SP High Deposition, Etch and Clean cost High Litho Cost 11

12 REAL WAFER DATA

WAFER DATA 193i LE 3 VS EUV SP BCABCABCA A (nm B (nm C (nm 193i LE 3 13nm LE bias EUV SP 0nm LE bias Litho Etch Litho Etch 39.3(1.12 39.6(1.34 39.4(1.19 24nm HP 27.7(2.53 26.8(2.41 27.4(2.68 24.6(1.84 24.6(1.77 24.6(1.66 25.1(2.25 25.3(1.89 25.2(1.96 SWR 5.85 3.50 5.18 4.90 M1C M1A 193i LE 3 13nm LE bias M1B EUV SP 0nm LE bias Litho Etch Litho Etch 51.9 (3.53 - - 27.2(4.31 27.0(3.00 24.3(3.60 26.2 (3.61 25.7(2.88 25.2(3.67 25.5(3.85 24.9(3.02 25.6(3.97 11 8.6 - - 13 LOGIC Better CDU for EUV SP but slightly worse LWR/SWR In each case CDU and LWR can lead to open or bridging 193i LE 3 route results in corner rounding EUV SP offers clearly better patterning fidelity than 193i LE 3

WAFER DATA 193i SADP+KEEP VS EUV SP LOGIC 24nm HP 193i SADP+KEEP Gap Core EUV SP Core (nm 193i SADP+Keep 18nm LE bias EUV SP 0nm LE bias Litho Etch Litho Etch 42.5(0. 8 24.8(1.8 22.0(0.86 22.7(2.25 SWR (Core 5.1 2.1(1.0 4.61 3.9 Gap (nm - 24.2(1.6 - - SWR (Gap - 2.7(1.9 - - EUV single patterning offers clearly better patterning fidelity than 193i SADP + Keep, however, roughness is high 193i SADP + Keep shows better CDU and LER/LWR but has sharp line ends 14

WAFER DATA 193i SADP+KEEP Spacer Contour * Keep Contour * Final Contour * TiN Core Spacer Gap Oxide SiN *Contour used to guide the eye. Based on non-calibrated etch model 15

193i SADP+KEEP KEEP MULTIPLE PATTERNING KEEP CAN HELP LINE ENDS CORE REMOVAL Keep KEEP LITHO FINAL METAL TRENCH Spacer SP Target Contour Keep A Keep B DP Target Contour 16

WHAT IS IMPORTANT WHEN MAKING A COMPARISON?

ELECTRICAL INTEGRITY

ELECTRICAL INTEGRITY Resistance/Capacitance variability Open/Short due to Lines/Space narrowing CDU/LER/LWR Proximity effect Via landing Overlay Line end pull back Proximity M1 LE 3 Rounding Line end/corner shape Narrowing Pull back Comparison by human eye is easy but the challenge is to automate this M1 EUV 19

CONTOUR EXTRACTION FROM CD-SEM E.G. EUV CASE 100+ contours show the variability across the wafer Line-Ends Full wafer SEM collection Count 300 200 100 Mean = 1.8nm Standard Deviation = 2.0nm 0-4 -3-2 -1 0 1 2 3 4 5 6 7 8 9 EPE [nm] All ends show a wide range Count 40 35 30 25 20 15 10 5 Separate locations show pull in and push back Location 5 Location 6 Location 7 0-4 -3-2 -1 0 1 2 3 4 5 6 7 8 9 EPE [nm] 20

VARIABILITY BANDS EUV vs 193i LE 3 EUV Variability Band 193i Variability Band Extraction artefacts No doubt EUV cases look better qualitatively Immersion case shows pattern displacement errors (probably due to overlay errors, less sharp corner transitions (probably due to OPC, and larger variability bandwidths 21

LINE END PULLBACK IS DEPENDENT ON PROCESS EUV vs 193i LE 3 22

HOT SPOT BRIDGE EUV vs 193i LE 3 23

VIA AREA COVERAGE (NOMINAL VIA USED EUV vs 193i LE 3 24

SUMMARY CAN WE CONCLUDE WHICH PROCESS IS BETTER? DEPENDS! Masks (single level LE 3 SADP+Keep EUV 3 2 1 CDU (3s 2.5nn 1.8nm 2.0nm L/SWR 3.5nm 2.7nm 3.9nm Process steps 27 18 8 Full flow cost 396 a.u. 375 a.u. 343 a.u. Pattern Integrity Rounded corners Sharp line ends Good Variability in Line-end pull back (3s 16nm Close to 1nm * Close to 5nm Bridge 3-4 0 * 2-3 Via coverage 28% >75% * 25 75%... IT * Estimated based on visual data