Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Similar documents
Self-Aligned Double Patterning for 3xnm Flash Production

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Readiness and Challenges of EUV Mask

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS-

Nano-Imprint Lithography Infrastructure: Imprint Templates

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

The Transition to Patterned Media in Hard Disk Drives

PROGRESS OF UV-NIL TEMPLATE MAKING

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Defense Technical Information Center Compilation Part Notice

Advanced Display Manufacturing Technology

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Recent results of Multi-beam mask writer MBM-1000

AMOLED Manufacturing Process Report SAMPLE

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

CMP and Current Trends Related to Advanced Packaging

Study of Pattern Area Reduction. with FinFET and SGT for LSI

IC TECHNOLOGY Lecture 2.

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Approaching Zero Etch Bias at Cr Etch Process

~ 50, ,000 ~ $500K

The Challenges in Making NIL Master Templates

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Because Innovation Matters

Wafer Thinning and Thru-Silicon Vias

Overcoming Challenges in 3D NAND Volume Manufacturing

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

FinFETs & SRAM Design

24. Scaling, Economics, SOI Technology

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Deep Silicon Etch Technology for Advanced MEMS Applications

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Lower BW and its impact on the patterning performance

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

EECS150 - Digital Design Lecture 2 - CMOS

AIXTRON in EXCILIGHT project

Layout Analysis Analog Block

Introduction and recent results of Multi-beam mask writer MBM-1000

Digital Integrated Circuits EECS 312

Advanced Sensor Technologies

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Solution-based transistor matrix

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

BTC and SMT Rework Challenges

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

1. Publishable summary

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

QPA2626D GHz Low Noise Amplifier

Emerging Memory Technologies

FS3 Series Tri-Lens for SEOUL SEMICONDUCTOR Z-POWER P4 TM LEDs

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

WAH WANG HOLDINGS (HONG KONG) CO., LTD.

Lecture 1: Intro to CMOS Circuits

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

I-fuse TM : Best OTP for FD-SOI and Sub-14nm

High-Performance Technologies for an Analog-Centric World

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

CCD 143A 2048-Element High Speed Linear Image Sensor

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

OLED Status quo and our position

Defense Technical Information Center Compilation Part Notice

Lossless Compression Algorithms for Direct- Write Lithography Systems

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Product Specification PE613010

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

An Efficient IC Layout Design of Decoders and Its Applications

WP36BHD T-1 (3mm) Blinking LED Lamp

SEMI 大半导体产业网

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Future trends for SiP In Medical Implant Applications

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

Cisco Video Surveillance 6050 IP Camera Data Sheet

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Advanced MEMS Packaging

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Transcription:

Patterning Challenges for N7 and Beyond At a Crossroads Steven Scheer Director, Corporate Development Division TOKYO ELECTRON LIMITED Advanced Technologies in Accelerating Digital Era and IoT Forum Friday, September 4, 2015 Room 401, TWTC Nangang Exhibition Hall, Taipei 1

Different Drivers Continuous Growth B USD Mobile Computing Analytics Sensing Enhancement 450 400 350 300 250 2010 - ipad 2007 - iphone 2002 - Cellphones w/ camera 2001-3G Cellphones 200 150 100 50 1981, IBM PC MS-DOS 0 1976 1981 1986 1991 1996 2001 2006 2011 2016 Source: IHS Q2 2015 2

Technology Roadmap: Continuous Innovation Functional Diversification More than Moore CMOS Scaling KrF ArF ArF-Immersion DRAM Cu/ULK Wire-Bonding Strained Si High-k Metal Gate FinFET Flip-Chip NAND Homogeneous 2.5D 3D NAND STT-MRAM 3DI ReRAM Heterogeneous Si Photonics Advanced Packaging (3DI / WLP) Now DP/MP Cu/ELK Nanowire FET Emerging Memory 2017~ More Moore EUV DSA NIL, EBDW Patterning New Material New Structure Source: Tokyo Electron Limited (based on ITRS) 3

Patterning Destination Set by Device Technology DRAM 3D NAND Logic Planar STI PMOS T. Ghani, et al., IEDM 2003 193 Dry Exposure FinFET STI 22nm (FinFET RMG) C. Auth, et al., VLSI tech. 2012 SADP + Cut (x1 2) Nanowire STI SEM Image : TEL AR > 50 Jae-woo Im et al., ISSCC, 201 R. Coquand et al., VLSI tech. 2013 SAQP + Cut (x2 3) 4

Patterning Paths Which to Choose? EUV Multiple Patterning DSA Source: IMEC 2015 Process Simplicity Design Flexibility Pitch Scalability Production Ready Low CapEx Materials Enabled Shrink High CapEx Tool Productivity Edge Placement Error (EPE) Process Complexity Self Alignment Defect Design Flexibility 5

Patterning at a Crossroads 6

Immersion Multiple Patterning Patterning Superhighway Gate Fin Metal 7

Immersion Multiple Patterning Process Pre Pattern Grid Formation Cut Pattern Pattern Memorization Critical Process Step 193i Single Patterning Litho Material Process SAMP (DP, QP, OP) Deposition Etch LE x Multiple Patterning Litho (193i, EUV) Planarization Etch Line Cut Etch Deposition Key Patterning Technology LER Reduction CDU Control LER Reduction CDU Control Spacer Selectivity Hole Shrink Pattern Healing Variation Control Self Alignment Pattern Reversal HM Selectivity 8

Grid Pattern Formation DCS & Metal Oxide Spacer SiO 2 SADP Performance Enhancements Direct Current Superposition (DCS) Technology Photo Resist TiO SiARC Ar + EB H* DCS cured (Enhanced Smoothing) PR Curing Si Si Si TiO Spacer (Improved Selectivity) Si Coating 9

Grid Pattern Formation DCS & Metal Oxide Spacer 44nm hp Photo Resist 22nm hp Spacer Depo Spacer RIE Middle Layer RIE Bottom Layer RIE Ox spacer DCS applied TiO spacer Improved LER & Resist Profile No recess on Middle Layer Higher RIE Selectivity Improved Image Transfer Low temperature TiO and DCS process enable improved SADP process 10

Cut Pattern Formation Healing & Shrinking For Fin, Gate 193i 41.9nm 17.5nm 18.7nm Trench shrink for cutmask 20.0LS 66.1nm 19.9nm 19.8nm Hole shrink Hole multiplication 11.8LS For Via, Contact 63nm 63nm 63nm 14nmΦ 63nm 11

Cut Pattern Formation Healing & Shrinking Min 63nm pitch in immersion PR SiARC SOC TEOS In-situ polymer deposition thru-etching SiARC etch SOC etch Oxide etch Ashing 63nm 63nm 63nm 14nmΦ 63nm 12

Cut Pattern Formation Healing & Shrinking EL (%) 25 20 15 10 5 0 Nominal dose best focus Under dose defocus Process Window ADI (49.3nm±10%) AEI (31.2m±10%) 0 50 100 DOF (nm) CER (nm) 6 5 4 3 2 1 0 65 Hole CD Healing CDU 15.5nm (3sig) 60 55 50 45 40 CER 3.6nm 35 30 hole CD(nm) 25 3.4nm 20 1.4nm 15 10 Placement error Y (nm) Placement Error 8 6 4 2 ADI 0-8 -6-4 -2-2 0 2 4 6 8-4 -6-8 Placement error X (nm) 8 Placement error Y (nm) 6 Design based AEI 4 2 0-8 -6-4 -2-2 0 2 4 6 8-4 -6-8 Placement error X (nm) EL: 60% enlarged DOF: 34% enlarged CDU: 80% improved CER: 70% improved *CER: Circle Edge Roughness Keep Placement Error TEL healing & shrinking improves overall process variability 13

\ EUV Patterning Waiting for the Light 14

Pattern Collapse Mitigation at 22nm HP Process Condition Exposure tool: NXE3100 Resist: ESR1 Process: 2.38% TMAH DIW rinse Surfactant rinse DIW (ref.) FIRM Extreme TM FIRM Extreme TM A Process Window Count 18 21 21 Resolution Limit (nm) 22 20 19 Sensitivity at 22nm (mj/cm2) 13.9 14.3 13.9 LWR at 22nm (nm) 6.4 6.2 5.7 Pattern Size 22nm Half pitch (Top-Down SEM Image) Resolution and LER improvement achieved using surfactant rinse FIRM Extreme A FIRM Extreme is a trademark of Merck Performance Materials 15

CLEAN TRACK LWR & CER Improvement 70nm pitch/ line and space 64nm pitch/ line and space 56nm pitch/ line and space 44nm pitch/ line and space 64nm pitch/ contact hole Presmoothing LWR 5.20nm LWR 4.42nm LWR 5.48nm LWR 7.03nm CER 1.84nm Postsmoothing LWR 4.66nm LWR 3.90nm LWR 4.74nm LWR 6.23nm CER 1.59nm 10.3% Improved 11.8% Improved 13.5% Improved 11.3% Improved 13.8% Improved TEL Jun Sung Chun / SEMATECH et. al, SPIE2014 TEL vapor phase smoothing process can improve LWR and CER 16

Etch Hardening & LER Improvement Post Litho CD 29nm No Cure CD 38nm Post Etch w/cure CD 37nm 13.5nm EUV Resist Base FTIR Data for cured & un-cured resist LER 2.9nm LER 2.2nm LER 1.8nm CD 63nm CD 52nm CD 53nm LER 3.5nm LER 2.9nm LER 2.5nm VUV cure can modify chemical structure of EUV resist leading to smoothing ~ 20-30% improvement in roughness for optimized cure process as compared to non-cure 17

DSA Patterning Order From Chaos Self-Aligned L/S Pitch Multiplication Self-Aligned Hole Pitch Multiplication Simple Pitch Multiplication with CDU/LWR Self-Healing 18

DSA Hole Shrink Process Flow Hole Guide Affinity Control BCP Coat & Bake PMMA Wet Dev. Negative tone resist pattern Affinity control processes to change template surface properties Wet development removes PMMA core 19

Champion Hole Defectivity 2015 CLEAN TRACK LITHIUS Pro Z DSA Normalized Defect Density 1.00 0.67 Others 39% DSA Failure 9% On Material 25% Missing Hole 27% 0.14 0.014 0.008 Q1/13 Q2/13 Q4/13 Q1/14 Q3/14 Missing Hole Large Material Small Material Embedded DSA Failure Line Signature Others 20

DSA Etch Overall Process Optimization Position Center Right Middle Right Edge Effect of HF RF Power LER LWR Selectivity CD-SEM Square scan 300K CD: 21.5nm LWR: 1.84nm LER: 1.79nm CD: 21.9nm LWR: 1.94nm LER: 2.07nm CD: 21.6nm LWR: 2.11nm LER: 1.98nm LER, LWR [nm] 6.0 5.0 4.0 3.0 2.0 1.0 6.0 5.0 4.0 3.0 2.0 1.0 Selectivity X-SEM SiARC remain: 15.5nm Btm CD: 23.5nm SiARC remain: 20.9nm Btm CD: 25.1nm SiARC remain: 13.7nm Btm CD: 24.3nm 0.0 6.0 0.0 0 200 400 600 800 HF Source Power [W] Effect of Ion Energy LER LWR Selectivity 6.0 Simultaneous optimization of CDU, LER, and selectivity achieved LER, LWR [nm] 5.0 4.0 3.0 2.0 1.0 5.0 4.0 3.0 2.0 1.0 Selectivity 0.0 0.0 0.0 100.0 200.0 300.0 Vpp [V] 21

Crossroads or Converging Paths? 22

Summary New drivers for increased computing power and storage continue to demand new semiconductor technologies Traditional scaling is at an inflection point, requiring new innovation to enable cost effective patterning solutions Developing these innovations requires partnership between customers, consortia, and suppliers TEL is ready to support all patterning technologies 23

What path do you want to follow? TEL Patterning Solutions steven1.scheer@tel.com 謝謝 TM 24

Acknowledgements Semiconductor companies collaborations Consortia (EIDEC, IMEC, SEMATECH) Material suppliers (Merck, TOK) Equipment suppliers (ASML, HHT, KLA-Tencor) TEL global technology teams (PSP, TDC, ATG, TTCA) Co-authors Hidetami Yaegashi Kenichi Oyama Takashi Hayakawa Takahiro Kitano Makoto Muramatsu Kousuke Yoshihara Satoru Shimura Shinichiro Kawakami Toshikatsu Tobana Mark Somervell Carlos Fonseca Ben Rathsack Anton devilliers Serge Biesmans Omar Madrigal Samuel House Rob Crowell Nagisa Sato Ken Nawa Koichi Yatsuda Seiji Fujimoto Noritaka Yokomori Hideo Nakashima Seiji Nagahara Hiroyuki Iwaki Akihiro Sonoda TEL is a trademark of Tokyo Electron Limited. steven1.scheer@tel.com 25