Auto classification and simulation of mask defects using SEM and CAD images

Similar documents
PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Characterization and improvement of unpatterned wafer defect review on SEMs

Wafer defects can t hide from

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Nano-Imprint Lithography Infrastructure: Imprint Templates

Failure Analysis Technology for Advanced Devices

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

AIMCAL FALL CONFERENCE. More Than Defect Detection. The Real Value of Web Inspection

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Approaching Zero Etch Bias at Cr Etch Process

Readiness and Challenges of EUV Mask

Lossless Compression Algorithms for Direct- Write Lithography Systems

BTC and SMT Rework Challenges

Automatic Commercial Monitoring for TV Broadcasting Using Audio Fingerprinting

PROGRESS OF UV-NIL TEMPLATE MAKING

Multi-Shaped E-Beam Technology for Mask Writing

Avoiding False Pass or False Fail

Sharif University of Technology. SoC: Introduction

The Transition to Patterned Media in Hard Disk Drives

PCB Error Detection Using Image Processing

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Incorrect Temperature Measurements: The Importance of Transmissivity and IR Viewing Windows

Auto-Teach. Vision Inspection that Learns What a Good Part Is

from ocean to cloud ADAPTING THE C&A PROCESS FOR COHERENT TECHNOLOGY

Layout Analysis Analog Block

In-process inspection: Inspector technology and concept

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Scan. This is a sample of the first 15 pages of the Scan chapter.

Speech Recognition and Signal Processing for Broadcast News Transcription

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure

The Challenges in Making NIL Master Templates

CHARACTERIZATION OF END-TO-END DELAYS IN HEAD-MOUNTED DISPLAY SYSTEMS

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

At-speed testing made easy

Testing Digital Systems II

DISPLAY WEEK 2015 REVIEW AND METROLOGY ISSUE

inter.noise 2000 The 29th International Congress and Exhibition on Noise Control Engineering August 2000, Nice, FRANCE

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Simple motion control implementation

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

EUV Blank Inspection

Smart Traffic Control System Using Image Processing

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

High Density Optical Connector with Unibody Lensed Resin Ferrule

IMIDTM. In Motion Identification. White Paper

Instrument Recognition in Polyphonic Mixtures Using Spectral Envelopes

Because Innovation Matters

VLSI Chip Design Project TSEK06

Is Optical Test Just an Illusion? By Lloyd Doyle. Background

COMPLETE TISSUE PRODUCTION IMPROVEMENT SYSTEM

Superpose the contour of the

Cathode Studies at FLASH: CW and Pulsed QE measurements

How to Use Imaging Colorimeters to Improve OLED Display Production Testing Efficiency and Yields

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers

MN9320A. Optical Channel Drop Unit. Independent Test Access Tool for Comprehensive DWDM Measurements

Achieve Accurate Critical Display Performance With Professional and Consumer Level Displays

System Quality Indicators

ESA STUDY CONTRACT REPORT SUBJECT : CONTRACTOR ESA CONTRACT N

MAXIM INTEGRATED PRODUCTS

Distortion Analysis Of Tamil Language Characters Recognition

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Digital Integrated Circuits Lecture 19: Design for Testability

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES

Applying Machine Vision to Verification and Testing Ben Dawson and Simon Melikian ipd, a division of Coreco Imaging, Inc.

Recent results of Multi-beam mask writer MBM-1000

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

Fiber Meter. Specification & User Manual

RELIABILITY REPORT FOR. MAX6070xxAUTxx+T PLASTIC ENCAPSULATED DEVICES. December 19, 2012 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134

Unit V Design for Testability

Selection Criteria for X-ray Inspection Systems for BGA and CSP Solder Joint Analysis

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

V9A01 Solution Specification V0.1

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay

Introduction and recent results of Multi-beam mask writer MBM-1000

Written Progress Report. Automated High Beam System

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Display Systems. Viewing Images Rochester Institute of Technology

Understanding PQR, DMOS, and PSNR Measurements

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Organic light emitting diode (OLED) displays

METHODS TO ELIMINATE THE BASS CANCELLATION BETWEEN LFE AND MAIN CHANNELS

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts

UNIT IV CMOS TESTING. EC2354_Unit IV 1

ISRA VISION PARSYTEC Tissue World Milano 2017

Martin Lehmköster

SEMICONDUCTOR TECHNOLOGY -CMOS-

Using SignalTap II in the Quartus II Software

Acquisition Control System Design Requirement Document

Other funding sources. Amount requested/awarded: $200,000 This is matching funding per the CASC SCRI project

REPORT DOCUMENTATION PAGE

Transcription:

Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu 300 77, Taiwan H. Zhang, K. Yamada, Y. Kitayama, K. Kobayashi, Holon Co., Ltd., 1026 1 Minaminagai, Tokorozawa Shi, Saitama 359 0011, Japan Peter Fiekowsky Automated Visual Inspection LLC. 952 South Springer Road, Los Altos, CA 94024, USA ABSTRACT Mask defect disposition gets more difficult and time consuming with each progressive lithography node. Mask inspection tools commonly use 250 nm wavelength, giving resolution of 180 nm, so critical defect sizes are far less than the optical resolution too small for defect analysis. Thus the rate of false or nuisance defect detection is increasing rapidly and analysis of detected defects is increasingly difficult. As to judging the wafer printability of defects, AIMS (Aerial Image Measurement System) tools are commonly used but are also time consuming if defect count is high. For improving the efficiency of mask defect disposition, we propose the combination of a SEM defect review tool and defect disposition and simulation software, which use high resolution SEM images of defects to do defect review, defect disposition, and wafer printing simulation of defects automatically or manually. The SEM defect review tool, DIS 05 developed by Holon Co. Ltd., is designed for defect review and disposition using reference images derived from e beam files or CAD database. This tool uses the Automated Defect Analysis Software (ADAS) developed from AVI LLC. to interface the inspection tool and the DIS 05. ADAS detects false defects before SEM imaging and performs aerial image simulation from the SEM and CAD images to estimate the wafer CD error caused by each defect. We report on its speed (>300 defects/hour), classification accuracy and simulation accuracy when used with masks at the 45 nm technology node and beyond. This combination of SEM and ADAS is expected to significantly accelerate process development and production for the 45 and 32 nm nodes. It will also increase the masksper day throughput of inspection and AIMS tools by shifting most defect review to ADAS software using SEM images. At preliminary tests showed the combination tool can do auto defect disposition and simulation with promising results. Keyword: defect, mask inspection, Scanning Electron Microscope (SEM), ADAS, CAD image, Defect Imaging System (DIS). 1. INTRODUCTION As lithography technology goes to sub micron node, not only the pattern dimensions on photomasks or reticles shrink considerably, but also many resolution enhancement techniques (RETs) are applied extensively to meet lithography requirements. These RETs including phase shift masks (PSMs), optical proximity correction features (OPC), and subresolution assist features (SRAFs) can bring benefits of effectively increasing resolution and depth of focus for lithography engineers to print critical features on wafers with better process control *1. However, these RETs combined with smaller features on a reticle may cause a lot of troubles for reticle inspection, such as longer inspection time, higher false or nuisance defect count, longer defect classification time, and lower production throughput. Moreover, as mask

features get smaller, tiny defects or contamination may cause catastrophic wafer printing failure due to high mask error enhancement factor (MEEF) *2. It is important for mask inspection engineers to detect and classify defects accurately for post defect processing as well as to utilize the inspection tool efficiently due to soaring tool cost. Figure 1 is a normal flow of mask inspection. Following mask inspection, defect classification and disposition is a crucial step to determine defect types. The information of defect classification is then passed to mask repair engineers for repair or AIMS (Aerial Image Measurement System) verification, or to process engineers for analyzing potential process issues. Inspection tool On line defect review Repair/AIMS or other post defect processes Some defects cannot be judged off line All defects can be judged off line Off line defect review Figure 1: Normal flow of mask inspection For mask inspection engineers, defect review classification is usually judged by real defect camera images as well as highlight images processed by an inspection tool. However, as defect count increases, defect review could occupy much inspection tool time, which is a big cost concern due to soaring tool price of high end inspection tools. Defect review may occupy about 30% tool time according to real production statistics *2, 3. Many inspection tools provide off line defect review functions to maximize the utilization of inspection tools on real mask inspections (Figure 2). However, the stored images are frequently not sufficient to judge defect types. For those off line unclassifiable defects, on line review is necessary. This on line review requires expensive inspection tool time. Optical DUV Mask defect review tools have been introduced recently that remove the mask defect review process from the inspection tool and provide higher resolution and through focus imaging for more accurate classification *3. Hard or soft defect? Real or fake (false) defect? Figure 2: Examples of defects not easily determined off line Clipped, massive, or no highlight images

As mask patterns shrink with each new technology generation, even smaller defects can cause catastrophic failure. Due to the limitations of optical tools, however, it is difficult to tell the defect type according to live camera or process highlight images. Incorrect defect classifications confuse mask repair or process engineers, leading to inappropriate repair, and masks being scrapped. Hence high resolution defects images are essential for accurately classifying defects *4. Before hard defects are repaired, repair engineers perform CD error printability measurements on AIMS tools to avoid repairing defects that meet outgoing specifications without repair. When the defect count is high, this verification requires significant AIMS tool time and increases critical TAT. Currently there are some software products on the market to simulate wafer printing results of defects using the processed highlight images of inspection tools. The simulation software can help reduce some tool time loading of AIMS for verifying defects* 5. For efficiently classifying defects, verifying wafer printing error of defects, and improving the tool time utilization of inspection, repair and AIMS tools, we propose a modified mask inspection flow as shown in Figure 3. In this proposed flow, after mask inspection completes, the inspection report generated by the tool is sent to a high resolution defect review system. The mask being inspected will be transferred to the review tool, which is equipped with powerful software to do defect judgment and classification automatically with manual review. If the level of false or nuisance defects is too high, the software can execute the defect pre filtering function to filter out those defects. Furthermore, wafer printing simulation can also be predicted by the software. After all information of defects is determined (inside the dash lined box of Figure 3), the information can be passed to mask repair or other post defect processes. Inspection Tools High resolution review system with auto and manual defect classification function Repair/AIMS or other post defect processes Defect Pre filtering Figure 3: Proposed new inspection flow for improving defect classification and tool utilization efficiency 2. METHODS For accomplishing the proposed new inspection flow, we combine Holon DIS 05 e beam mask inspection review tool and ADAS simulation software developed by AVI to form an all around review tool. The DIS 05 has been developed by HOLON based on the state of the art technology of Mask CD SEM, which have two key features. One is low vacuum technology which is very effective for a various kinds of masks measurement with charging free. Another one is an aberration corrector technology to get sharp images with a high resolution. The DIS 05 can also create CAD image from many kind of database format. The software of ADAS combines defect classification, measurement, simulation and interfaces. It accurately converts the SEM image into a transmission mask model image, which is then measured, and transformed into an AIMS simulation. It then measures the predicted wafer CD error from the simulation image. ADAS also takes CAD image inputs for reference, and it allows the operator to compare images, measurements, and simulations directly from inspection tool images. The operation procedures are shown in Figure 4.

Work Station Inspection tool Inspection report Pre filter Pre Classification, simulation For judging easy defects. or AVI ADAS AIMS/Repair/Cleaner/Other applications Renew inspection report Post filter Auto classification,defect size measurement, wafer (AIMS) simulation Coordinates of undetermined defects Defect SEM images & CAD images return to ADAS Holon DIS 05 SEM Reading imaging CAD files Capture SEM images & CAD images Figure 4: Detailed flow of improving the mask inspection process The results of the full mask defect inspection are delivered to ADAS through the inspection report. The ADAS pre filter process identifies false defects such as white spots, and focus errors, and under spec defects such as SRAF (Sub Resolution Assist Feature) and isolated defects, and some dummy patterns. ADAS also identifies over spec defects that do not require further analysis. The remaining unclassified defects must be imaged by the DIS 05, and ADAS sends those defect locations to the DIS 05. DIS 05 rapidly takes SEM images at each defect position and creates a CAD image for reference. This typically takes ½ hour per inspection. The SEM and CAD images are sent back to ADAS where the post filter classifies the defect type, measures the defect, does AIMS simulation, and measures the CD and transmission errors in the simulation. The results of the post filter are sent to the AIMS and/or repair tool, and /or cleaner. Those defects where repair may cause the mask to be scrapped are sent to AIMS for final disposition. In summary, most defects are classified in the pre filter stage, but the most difficult defects go through three stages of analysis: Pre filter using inspection tool images, post filter using SEM images, and AIMS. It is expected that each stage will filter out more than 90% of the incoming defects, so that less than 1% of defects will require AIMS analysis. At the preliminary test and verification stage, we tested the following four items to verify the capability and feasibility of the combination of DIS 05 and ADAS: (1) defect pre filtering for removing false or nuisance defects, (2) auto classification of a line/space mask, (3) auto classification of a contact hole mask with energy flux defect, (4) AIMS simulation of a line/space mask by ADAS.

3. RESULTS 3.1 Defect pre filtering function As patterns get smaller and more complex, nuisance or false defect count inevitably gets higher. As nuisance or false defect count is high, defect pre filtering before SEM imaging is necessary to save the time of the SEM review tool. There are 1009 nuisance or false defects on one of production 45 nm node line/space mask detected our inspection tool and the defects were classified one by one by a operator. The ADAS can pre filter 455 nuisance or false defects automatically in a minute before executing the SEM imaging of other defects for classification. The pre filtering efficiency is about 45% (Figure 5). Further algorithm modifications are ongoing to improve the efficiency Reference Test Difference Figure 5: Nuisance or false defects detected by an inspection tool (top), and defects pre filtered by the ADAS (bottom). The pre filtering was about 45% (455/1006). 3.2 Auto defect classification accuracy line/space There are hundreds of programmed defects on the 45 nm node line/space defect standard mask for verifying the defect defection capability of inspection tools. The total count of detected defects is 320, including pin dots, pinholes, MoSi intrusion, MoSi protrusion, and critical dimension (CD) errors. By traditional defect classification, for example, it is difficult for operators to judge defect types by the highlight or live images provided by inspection tools. An e beam review tool can provide clear SEM images to operators for judging defects accurately. Figure 6 is an example of a pin dot defect located in dense lines, which cannot be accurately classified using the inspection tool image. However it can be easily classified using SEM images. SEM images can be obtained from the e beam review tool and can be used for automatic and manual defect classification. Reference transmitted image Test transmitted image Difference transmitted image Test reflected image SEM image Figure 6: A pin dot defect located in dense lines cannot be easily judged by the inspection tool but can be clearly seen by the e beam review tool

Having better contrast and resolution than optical ones, SEM images can also be used as input files for defect simulation software to do auto defect classification. There were 315 out of 320 defects accurately classified by the simulation software with 98% accuracy rate. Figure 7 and 8 show parts of auto classification results about pin dot defects (defect code: 2A, number 1~6), MoSi protrusions (defect code: 1A, number 7~12), and pinholes (defect code: 2B, number 19~23). 1 2 3 4 5 6 7 8 9 10 11 12 Figure 7: Auto classification of parts of pin dot and MoSi protrusion defects a pin dot defect located in dense lines. 19 20 21 22 23 Figure 8: Auto classification of parts of pinhole defects located in dense lines.

As to wrong auto classification, the defects were end to end CD errors but were classified as pinholes (Figure 9). Newer software versions have resolved the issue. #303~305, end end CD error as 2B pinholes Figure 9: parts of wrong auto classified defects 3.3 Auto defect classification accuracy contact holes As for contact hole mask inspection, the most annoying defects are energy flux defects, which are more difficult to be judged than hard or soft defects located in holes. Especially for die to die inspection, it is tough to tell which die has energy flux error as the inspection tool flags energy flux defect. Practically, the AIMS measurement of two defect dies with additional reference die is required to determine which die is defective. The flow takes a long time. DIS 05 captures the SEM images of the two dies and the corresponding die information of the original e beam files (CAD image), then sends them to ADAS to do the hole area difference calculation between SEM and CAD images automatically. According to our previous study, hole area difference smaller than 2.2% can meet our AIMS measurement specification and no more defect repair is required *4. On our 45 nm node contact hole production mask are 265 energy flux error defects. Our classification approach was applied and there is no defect out of the specification (Figure 10). The AIMS measurement of the most defective defect shows it still meets the specification. It can verify and reconfirm our approach is practical. SEM Image ADAS Area error (%) Area Error from Inspection tool and SEM Images 400 nm CH 265 Defects D2D 14% 12% 10% 8% 6% 4% 2% Real defects False defects Spec: 2.2% In spec 0% 0% 2% 4% 6% 8% 10% 12% 14% Inspection tool Image ADAS Area error (%). Figure 10: Energy flux defects detected by the inspection tool (left) and auto classification results of die to die contact hole energy flux error (right). All defects are in the specification verified by area difference calculation and AIMS measurement.

3.4 AIMS simulation by ADAS line/space ADAS not only classifies defects but also simulates wafer printing behavior affected by different sizes of defects (Figure 11). The prediction of ADAS on MoSi intrusion defects located in the minimum pitch of the 45 nm node line space mask was compared with AIMS measurement and actual wafer printing results under the same lithography conditions. As Figure 12 shows, the prediction of ADAS has similar trend with AIMS and actual wafer printing. ADAS also shows good prediction on other types of defects. If more data are collected in the future, a new spec based on ADAS could be established to filter out most of defects to skip AIMS measurement for saving tool cost. Figure 11: ADAS can also predict wafer printing behavior. Error Percentage 100% 80% 60% 40% 20% 0% ADAS simulation vs. AIMS and actual wafer printing Line broken 42 41 40 39 38 37 36 35 34 33 32 43 31 30 29 AVI AIMS wafer Defect number Figure 12: ADAS shows good prediction on the wafer printing behavior of MoSi intrusion defects with variable dimensions.

4. SUMMARY The traditional flow of mask inspection and defect disposition occupies a lot of precious machine times of mask inspectors and AIMS tools. In addition, defect types cannot be easily determined due to the limitation of optical resolution of mask inspection tools. The combination of the high resolution SEM review tool Holon DIS 05 and the defect simulation and disposition software AVI ADAS is proposed to utilize machine time more efficiently and to benefit accurate defect classification and judgment by using SEM and CAD images of defects. At preliminary test stage, the combination of DIS 05 and ADAS proves that defects on masks can be classified automatically with high accuracy 98%. As to pre filtering nuisance or false defects, the pre filtering rate was about 45% and can be further improved. Wafer printing simulation of defects classified by the combination of DIS 05 and ADAS was matched well with that of AIMS measurement. More data collection is ongoing to verify the repeatability and feasibility. REFERENCES 1. A. Dayal et al., Optimized inspection of advanced reticles on the TeraScan reticle inspection tool, Proc. of SPIE Vol. 5992 (2005) 2. T. Kang et al., High performance reticle inspection tool for the 65 nm node and beyond, Proc. of SPIE Vol. 6607 (2007) 3. K. Lim et al., Novel mask inspection flow for better defect review and analysis, Proc. SPIE 6283, 62830Z (2006) 4. H. Zhang et al., New method of identification of false or nuisance defects using defect imaging system DIS 05, Proc. SPIE 6730, 67303M (2007) 5. P. Fiekowsky, et al., Automating defect disposition in fabs and maskshops, Proc. SPIE Vol. 6730, 67303R (2007)