A REVIEW OF FLIP-FLOP DESIGNS FOR LOW POWER VLSI CIRCUITS

Similar documents
PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

A Power Efficient Flip Flop by using 90nm Technology

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

A Low-Power CMOS Flip-Flop for High Performance Processors

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Minimization of Power for the Design of an Optimal Flip Flop

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

P.Akila 1. P a g e 60

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

An efficient Sense amplifier based Flip-Flop design

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Low Power D Flip Flop Using Static Pass Transistor Logic

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

II. ANALYSIS I. INTRODUCTION

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Comparative study on low-power high-performance standard-cell flip-flops

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Design of Low Power Universal Shift Register

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Design of Shift Register Using Pulse Triggered Flip Flop

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

An FPGA Implementation of Shift Register Using Pulsed Latches

Single Edge Triggered Static D Flip-Flops: Performance Comparison

ADVANCES in NATURAL and APPLIED SCIENCES

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

Design of an Efficient Low Power Multi Modulus Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

EE-382M VLSI II FLIP-FLOPS

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

ECE321 Electronics I

ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Power Optimization by Using Multi-Bit Flip-Flops

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

LFSR Counter Implementation in CMOS VLSI

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Figure.1 Clock signal II. SYSTEM ANALYSIS

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Current Mode Double Edge Triggered Flip Flop with Enable

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

ISSN Vol.08,Issue.24, December-2016, Pages:

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Transcription:

Volume 6, Issue 8 (August, 2017) UGC APPROVED Online ISSN-2277-1174 Published by: Abhinav Publication Abhinav National Monthly Refereed Journal of Research in A REVIEW OF FLIP-FLOP DESIGNS FOR LOW POWER VLSI CIRCUITS Nagarjuna Reddy Gujjula 1 Research Scholar Email: nagarjuna754@gmail.com Dr.P.V.Naganjaneyulu 2 Research supervisor Email: pvnaganjaneyulu@gmail.com 1,2 Department of Electronics & Communication Engineering Mewar University, Chittorgarh, Rajasthan, India ABSTRACT Flip-flop topologies are highly effective in the development of VLSI in-built circuits. These circuits are actually high performing CMOS circuits that can give a marvelous speed to the system. At the same time, it is necessary to choose the right flip-flop for a given application to get the desired design to satisfy the need of high performing circuit that would consume low power. In this paper, we will come across various types of flip-flops with a wide array of topologies that vary are specifications in terms of area estimation, power dissipation estimation, transistor count, simulation set up, etc. In this context, the concept of optimum design is also discussed. The work pattern of every flip-flop is explained properly and simulation is made with micro wind and LT-SPICE. The analysis of these flip-flops is made with respect to the parameters like propagation delays at 0.12μm 6metal coating technology and power dissipation is carried out. Keywords: VLSI, High speed, flip-flops, power dissipation, topologies INTRODUCTION In the very large scale integrated circuits or VLSI circuits, a wide array of sequential circuits like counters, registers, memory elements, are used. With the improvement in the VLSI technology, the integration level is getting better and the clock speed is also enhancing with time. For the improved design of CSE (Clock Storage Element) that will provide high speed but will consume low energy submicron, topology selection, and CMOS system area are too important. Portable batteries and microprocessors that have been in wide demand these days are the best examples of today s improved design of CSE. Different categories of flip-flops are available these days that can produce high speed at lower energy consumption levels. Getting hand into the most appropriate flip-flop topology suitable for a specific application is not an easy affair as you can find a large number of different types of topology meeting your need. In any case, a prominent design and the best possible topology are required to achieve the desired result from a CMOS circuit. Being a bi-stable circuit, a flip-flop is capable of storing a logic state either 0 or 1 in response to the pulse emanated from the clock. In the design of the digital circuit, a large part of the design is engaged in the synchronization which operates on the basis of the signal produced the clock. It remarkably Available online on www.abhinavjournal.com 1

reduces the complexity of the circuit design. In this respect, the key challenge lies in the design of an affable D flip-flop (DFF). The evaluation of different types of topology can be started with flip-flop set that is triggered with a conventional single edge. This normally fixes the data either at the negative or positive end of the attached clock. A SET FF is organized to function as a master-slave latch by flowing the successive structure. This process is incompetent because in this system one part of the clock edges are lost but the complete application expense is incurred for the clock. Next, we are concerned about flip-flop DET gets active through Double Edge trigger. The benefit of this flip-flop is that it can be triggered both positive and negative edges. Several types of topologies are proposed in this context but these specifications are not so efficient as they bring compact driving capabilities that cause DC power consumption at the output node. The topology presented in this study is drawn with an aim to overcome the barriers of the previous drawbacks. The third topology used in this context to describe the semi-dynamic flip-flop with both dynamic and static designs is described in [1]. The best aspect of this flip-flop is that it can provide both short latency and prepare relevant logic functions with the least delay time. These characteristics are essential for the formation of highperformance microprocessors. A discussion is made on HLFF or Hybrid Latch Flip-Flop. HLFF is made to lessen the latch and the load on the clock simultaneously [2]. Pulsed-Triggered Flip-Flop P-FF is the next most important topology. It possesses strobe signals and a latch for data storage. These kinds of flip-flops are perfect for all those applications where high-speed is necessary and where clock sensitivity is low. Discussion on SAFF or Sense-Amplifier-Based Flip- Flop is also made in [3] that makes real and complementary inputs and also suitable for producing real complementary outputs. Another discussion is made on sense-amplifier-based FF. It's visible drawback called the slave element is consisted of Set/Reset (SR) NAND latches that suffer from the chance of that the inner nodes will float at the minimum level if the inputs switch to 0 or 1 when the level of the clock is high [4] [5]. At the same time, these kinds of transistors require the minimum possible number of transistors which provides asymmetrical interruptions that can be called clock-to-output delay that fluctuates from the high-to-low output. Our discussion on STFF has eliminated these sorts of issues to a great extent. In different features of WPMS or Write Port Master Slave FF are described that possesses the structure apart from pmos in the concerned pass gate [6]. The framework of WPMS may be called inverterpass transistor which is a combination that decouples the available data path with the inverters used for the clock. The net result is smooth-sailing dispersal of the available gain which is also responsible for accepting lower energy helping the best possible designs. A detailed explanation is made for TSPC or True Single Phased clacking. This is a proven process to obtain quick VLSI design. TSPC is a much-protected process that requires minimum routing area for the clock signal [7]. On the other hand, discussion is made on a positive edge trigger register that is created on the master-slave idea that is not at all sensitive to any overlap of clock known as clocked CMOS or C 2 MOS [8]. A vast discussion will be visible in the area of power dissipation area estimation as also on comparison strategy and innovative analysis. The purpose of the comparison strategy is to compare a large array of flip-flop topology in 0.12μm CMOS technology [9]. POWER CONSUMPTION IN STORAGE ELEMENT Designers have been facing a critical problem in the management of power consumption process in the integrated high-performance circuits. Most obvious sources of power dissipation in the latch are as follows: 1. Local data power dissipation: It presents that part of the power dissipated in the logical stage of the data processing. 2. Internal power dissipation: It represents the power required to switch the output load. VOL. 6, ISSUE 8 (August, 2017) 2

3. Local clock power dissipation: It represents that part of the power dissipated in the buffer of the local clock that is required to drive the clock input. The total parameter measured in this matter is the sum of all these above three aspects. It could be observed that the digital VLSI clocking system (Fig. 1) [10]. In a circuit with proper synchronization, the power consumption P cs is measured in the following way: Block diagram of a digital VLSI showing the clocking system Source: Nedovic, Oklobdzija and Walker, 2003 Here, the clock generator is represented through P cg, global wiring capacitance through P gw, local wiring capacitance through P lw, total clocked gate capacitance through P g, power dissipation by all the flip-flops P ff. Again, ß = Tapering factor of the clock generator N = Tapering stages number in the clock buffer V s = Voltage swing of the clock distribution network F = Clock frequency C j = here j stands for junction capacitance in the region of the source-drain regions of the output node of the clock generator C gw = gw stands of global wires, it presents total parasitic capacitance C lw = lw represents local wires and the expression measures the total parasitic capacitance of it C g= g stands for gate capacitance, and the expression represents the clocking system. P ff = the power dissipation in all the FFs, i.e. the power dissipation due to switching output loads+ local clock buffer + logic stage driving the data input Total power dissipation in a synchronous system can be reduced by choosing the proper values of ß and reducing the values of C gw, Clw, Cg, Vs,f. ELUCIDATION OF FLIP-FLOP TOPOLOGY As said previously, a wide array of topology is chosen in this matter, they are as follows: 1. Single Edge-Triggered Flip-Flop (SET) 2. Double Edge-Triggered Flip-Flop (DET) VOL. 6, ISSUE 8 (August, 2017) 3

3. Semi-Dynamic Flip-Flop (SDFF) 4. Hybrid Latch Flip-Flop (HLFF) 5. Pulse-Triggered Flip-Flop (P-FF) 6. Sense-Amplifier-Based Flip-Flop (SAFF) 7. Write-port Master-Slave Flip-Flop (WPMS) 8. True Single-Phase-Clock Flip-Flop(TSPC0 9. Clocked CMOS Flip-Flop (C 2 CMOS) Here, we have the detailed discussion on all these topologies SINGLE EDGE-TRIGGERED FLIP-FLOP (SET) These types of flip-flops transfer the data in any of the edges of the clock, i.e. either in the positive edge or in the negative edge. The master-slave concept is applied to prepare a conventional flip-flop. The configuration is so formed that the output of the master latch becomes the input for the slave latch, again, the output received from the slave latch becomes the output of the FFs. The most conventional FF SET is proposed (Fig. 2a) [11]. Here, a transmission gate is structured, called TG1 at the master stage to get D (data output). The process largely depends on two control signals CLKB and CLK just before the clock gets the expanding edge. On the other hand, TG2, i.e. the slave side is added but remains non-conductive when TG1 is active, the vice versa is also true. DOUBLE EDGE-TRIGGERED FLIP-FLOP (DET) In this type of flip-flop, both the rising and falling edge holds the data simultaneously. Several DET FFs could be found which normally takes a good amount of signal area and also produces undesired inner switching [12] [13] [14]. The topology is good at reducing the gate density as also clock skew. A complete description of the circuit can be understood from Fig. (2b). It is made with two latches connected parallelly. These are upper and lower data path. Here, the transistors MP2, MP3 MN1, and INV1makes the upper data path and MN2, MN3, MP1, and INV2 create the lower data path. In each stage one stuff is visible on the rising edge while at the same time the other is observable on the dropping edge of the clock. Here, when the signal node XB is at its lower end, MP2 is such a transistor that is capable of providing necessary response to break the storage node X considerably to VDD. Just in the same process, when the signal node YB is visibly high, the transistor MN2 creates a response to break the Y node to GND. When data and clock both are high, the data path in the upper half becomes conducive. In this condition, the node X grabs the high local part through the transistor MP2. At the same time, in the lower data path, the previously held data is quickly transmitted as an output in the Q node that passes through MN3. When the clock is at the lower end, the lower data path starts working and the node Y uses the transistor MN2 and goes with the low logic. During this period, the upper data path promptly begins to send the previous data that was on hold with it through MP3 in Q (the output node) SEMI-DYNAMIC FLIP-FLOP In Figure 2c, we can see a block diagram SDFF (Semi-Dynamic flip-flop). We can concentrate on the evaluation and pre-charge region of operation. The circuit here is called semi-dynamic as it is made of dynamic input with static operation stage. The pre-charge phase is reached with the falling edge of the clock. To retain the earlier state, Node X gets high pre-charge. Node X also cut off Q from its input stage. At the time of pre-charge, the node S stays at a high charge in the transistor N1 because CKD is at the lower end at the same time. The flip-flop gets into the evaluation phase with the rising of the clock: 1. When D is at 0 state, X gets a higher value, at that time, Q remains low. Otherwise, Q may discharge with the help of the transistors N4 and N5 to get higher values at QB. VOL. 6, ISSUE 8 (August, 2017) 4

2. When D is at 1 state and X steadily gets into the lower value, the transistor exists till the transition is finished. During this phase, the feeble cross-coupled inverters make the flip-flop static. Now, it is possible for the last inverter to safeguard the output node. To stop shutting off the transistor, N1 is placed in between CKD and node X. HYBRID LATCH FLIP-FLOP (HLFF) HLFF or Hybrid Latch Flip-Flop put the data at one end, at the same time, obliterates the delay or obstruction of the reverse side of the clock. HLFF is designed to reduce the load on the clock and latch latency to a large extent. Here, the fundamental operation is just like the latch. It provides an easygoing clock edge that helps in passing the load and decreasing the clock skew on the cyclical time. In Figure 2d, we can see the fundamental make of HLFF. Before the edge of the clock rises, the node Q holds the existing data while node X is pre-charged to VDD. It happens because the transistor N1 and N4 are switched off while N3, N6, and P1 are switched on at that moment. Just when the clock starts rising, N1 and N4 gets turned on while N3 and N6 wait for inverter delays related to three inverters. If the transition of CFDB is visibly low, the following activities will be visible: 1. At first, the node X is immediately decoupled from D 2. Then it may maintain that logic, or 3. It starts to pre-charge to VDD with the help of P3. At the clock s negative edge, the decoupled node X is fully pre-charged while it preserves the charge of X to VDD. For example, when the operating frequency is 500MHz and the design is made with the half of the clock load capacitance, it will show a latency of 2/3 rd of the combined interruptions of the transparent low and transparent high. Hence, the net outcome is 10% improvement in cycle time and 30% reduction in the clock load. PULSE-TRIGGERED FLIP-FLOP (P-FF) Pulse-Triggered Flip-Flop or P-FF is one of the post popular master-slave FF known in this sector. In this design, two aspects are vital: a latch for data storage and a pulse generator for creating strobe signal. Two types of P-FF are found: 1. Implicit type Here, the pulse creator is a built-in logic of the latch design. This is best adjustable for the management of the power. 2. Explicit type Here, the pulse generator and latch designs are differentiated. This design has overcome the time management issues but not so good in width control of the pulse or diving the voluminous capacitive load when one pulse generator is shared with many other latches. The design is depicted in Figure 2e. This design decreases the number of NMOS transistors that are used along the path of discharge. It provides necessary support to the pull-down strength that is available when the input data is 1. Now, when the clock is at its negative edges, i.e. both CLK and D are 0, the node z will be floating for the time being. On the other hand, the positive edge transistors N2 and N3 help to make the weak logic really high. This process can turn on the N1 transistor but some noticeable delay will be visible for the inverter I1. At this point, node X experience a rise in the voltage, transistor P3 gets turned off, and data is introduced along the width of the created pulse. The power of switching is lessened remarkably because of poor fluctuation in voltage. Here, the transistors N2 and N3 enhance the process of the creation of pulse. SENSE-AMPLIFIER BASED FLIP-FLOP (SAFF) SAFF is proficient in taking both true and harmonized inputs and create similar types of outputs. They are manufactured from the clocked sensed amplifier which helps to get a response even when the voltage fluctuation is really small. The key problems in this flip-flop system are the slave element which is prepared by SR NAND latch [15] [16]. It suffers from the drawback that any of the internal VOL. 6, ISSUE 8 (August, 2017) 5

nodes available here can float below the normal level when the clock is at a higher level. As the circuit works with a lower number of transistors, an asymmetrical delay may occur that marks slower high to low delay in the clock to output process. This paper interprets the high-speed flip-flop possessing sense-amplifier. This was first proposed by Antonio and his team [10]. The circuit is as shown in the Fig. 2f. Here the topology is divided into two stages: 1. Output latch stage It is manufactured with a hybrid solution that is available through NAND and N-C 2 MOS. 2. Sense-amplifier stage - It is manufactured through a conventional process. Pre-charge of the nodes Rbar and Sbar take place in the negative edge period. During the opposite phased, i.e. positive output phase, Sbar becomes zero bur Rbar remain high at that period. This induces transistor N5 to switch off while P1 switches on. During that same period, the output node Qbar is locked through N3, N4, and N6. WRITE-PORT MASTER-SLAVE FLIP-FLOP (WPMS) This type of flip-flop very much resembles the master-slave construction of flip-flop. But the application of each individual latch is accomplished through SRAM 6T cell. This application is depicted in Fig 2g. as referred in [11]. The design is completed with the keeper circuit made of single NMOS each is attached to both side that is again driven by a real and harmonizing clock. The keeper is useful in performing push-pull from both sides when changing the state is necessary. In WPMS you can t find any PMOS except the circuit of the keeper. Naturally, the delay in the clock is much reduced so also the data-path. TRUE SINGLE-PHASE-CLOCK FLIP-FLOP (TSPC) TSPC requires just one clock signal that is not overturned and rightly adjustable in both static and dynamic circuits of CMOS. Figure 2h is showing that topology with a detailed reference in [12]. The transistors N4 and P1 help to keep its previous state on the clock s falling edge latch. On the other hand, the D inputs on the rising edge are controlled through the samples P1, N1, N3, and N4. CLOCKED CMOS FLIP-FLOP (C 2 CMOS) A CLOCK-SKEW INSENSITIVE APPROACH Figure 2i shows a positive edge-triggered process that depends on the master-slave concept that is not sensitive to the clock overlay [10] [11]. This is called Clocked CMOS, abbreviated as C 2 CMOS. This operates in 2 phases: CLK = 0, in this condition the 1 st driver gets switched on. The master stage stays in evolution mode and acts as an inverter sampler that applied the inverted form of D available in the internal node X. CLK = 1, here, the master stage remains in the hold mode. The charge already available from the previous stage is flown to the output node that acts as an inverter in the slave stage. VOL. 6, ISSUE 8 (August, 2017) 6

Flip-Flop topologies, a. Single Edge-Triggered Flip-Flop (SET), b.double Edge-Triggered Flip-Flop (DET), c.semi-dynamic Flip-Flop (SDFF), d.hybrid Latch Flip-Flop (HLFF), e. Pulse-Triggered Flip- Flop (P-FF), f.sense-amplifier Based Flip-Flop (SAFF), g.write-port Master-Slave Flip-Flop (WPMS), h.true Single-Phase-Clock (TSPC), i. Clocked CMOS (C 2 CMOS). CONCLUSION This paper concentrated on the most commonly used flip-flop in 0.12μm CMOS technologies. Comparisons are made with respect to power dissipation, delay, and area of the most popular designs. Different topology has different characteristics and benefits: the fastest one is C 2 CMOS, its area, power dissipation, and delay are lesser than any other systems in this realm, while in the matters of power consumption the best ones are TSPC, P-FF, and SAFF. On the other hand, SDFF and HLFF are considered as the best topology as far as latency and clock skew are concerned. In general, considering the influence of the layout parasitics, simple and fundamental and conventional structures are much popular and widely accepted topology in micrometer systems. VOL. 6, ISSUE 8 (August, 2017) 7

REFERENCES 1. T. Yalcin, N. Ismailoglu. Design Of A Fully-Static Differential Low-power CMOS flip-flop, Proceedings of the 1999 IEEE International Symposium on Circuits and Systems. ISCAS'99, Vol. 1, pp. 331-333, 1999. 2. H. Mahmoodi, V. Tirumalashetty, M. Cooke, and K. Roy. Ultra low power clocking scheme using energy recovery and clock gating. IEEE Journal of Very Large Scale Integration (VLSI) Systems, Vol. 17, pp. 33 44, 2009. 3. D. Duarte, V. Narayanan, and M. J. Irwin. Impact of technology scaling in the clock power, in Proc. IEEE VLSI Comput. Soc. Annu. Symp., Pittsburgh, 2002, pp. 52 57. 4. H. Kawagachi and T. Sakurai. A reduced clock-swing flip-flop (RCSFF) for 63% clock power reduction, in VLSI Circuits Dig. Tech. Papers Symp., 1997, pp. 97 98. 5. Y. Cheon, P.-H. Ho, A. B. Kahng, S. Reda, and Q. Wang. Power-aware placement, in Proc. Design Autom. Conf., 2005, pp. 795 800. 6. Y.T. Chang, C.-C. Hsu, P.-H. Lin, Y.-W. Tsai, and S.-F. Chen. Post-placement power optimization with multi-bit flipflops, in Proc.IEEE/ACM Comput.-Aided Design Int. Conf., San Jose, CA, 2010, pp. 218 223. 7. Yu. Chien-Cheng. Low-Power Double Edge-Triggered Flip-Flop Circuit Design, The 3rd International Conference on Innovative Computing Information and Control IEEE, 2008. 8. Yin-Tsung Hwang, Jin-Fa Lin, and Ming-HwaSheu. Low-Power Pulsed-Triggered Flip-Flop Design with Conditional Pulsed-Enhancement Scheme", IEEE Transaction on Very Large Scale Intergradation (VLSI) Systems, IEEE, 2011. 9. W. Zhao and Y. Cao. New generation of predictive technology model for sub-45nm design exploration, in IEEE Intl. Symp. on Quality Electronics Design, 2006 10. N. Nedovic, V. G. Oklobdzija, W. W. Walker. A Clock Skew Absorbing Flip-Flop, IEEE ISSCC, San Francisco, 2003. 11. Y. T. Shyu, M. Lin, C. Huang and C. Lin. Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops. IEEE transactions on VLSI, Vol. 21, pp. 4-17, 2013 12. G. Antonio, S. Davide De Caro, E. Napoli, and N. Petra. A Novel High-Speed Sense-Amplifier- Based Flip-Flop, IEEE Transaction on Very Large Scale Intergradation (VLSI) systems, Vol.13, pp. 1-11, 2005. 13. P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L. Allmon, High performance microprocessor design. IEEE J. Solid-State Circuits, Vol. 33, pp. 676 686, 1998. 14. J. Shinde, S. Salankar, "Clock gating A power optimizing technique for VLSI circuits," in Indian Conference (INDICON), 2011, pp. 1-4. 15. V. Swetha1, S. Rajeswari. Design and Power Optimization of MT- CMOS circuits using Power Gating Techniques. International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering (An ISO 3297: 2007 Certified Organization), Vol. 2, pp. 8-14, 2013. 16. S. M. AqilBurneyb. Optimization of Power Consumption in VLSI Circuit. International Journal of Computer Science Issues, Vol. 8, pp. 2-11, 2011. VOL. 6, ISSUE 8 (August, 2017) 8