An Efficient Design of Low Power Sequential Circuit Using Clocked Pair Shared Flip Flop

Similar documents
Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

A Power Efficient Flip Flop by using 90nm Technology

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

Current Mode Double Edge Triggered Flip Flop with Enable

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Low Power Flip-Flop Design for Low Swing LC Resonant Clock Distribution Networks

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

A Low-Power CMOS Flip-Flop for High Performance Processors

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

DESIGN AND ANAYSIS OF SHIFT REGISTER USING DUAL DYNAMIC FLIP-FLOP

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

P.Akila 1. P a g e 60

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Comparative study on low-power high-performance standard-cell flip-flops

Low Power D Flip Flop Using Static Pass Transistor Logic

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

Minimization of Power for the Design of an Optimal Flip Flop

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Figure.1 Clock signal II. SYSTEM ANALYSIS

Power Optimization by Using Multi-Bit Flip-Flops

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

An FPGA Implementation of Shift Register Using Pulsed Latches

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Reduction of Area and Power of Shift Register Using Pulsed Latches

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

An efficient Sense amplifier based Flip-Flop design

ADVANCES in NATURAL and APPLIED SCIENCES

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

II. ANALYSIS I. INTRODUCTION

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

EE-382M VLSI II FLIP-FLOPS

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

LFSR Counter Implementation in CMOS VLSI

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Design of an Efficient Low Power Multi Modulus Prescaler

Load-Sensitive Flip-Flop Characterization

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Embedded Logic Flip-Flops: A Conceptual Review

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

THE clock system, composed of the clock interconnection

Energy Recovering ASIC Design

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

A Reduced Clock Power Flip-Flop for Sequential Circuits

Transcription:

An Efficient Design of Low Power Sequential Circuit Using Clocked Pair Shared Flip Flop D. Mahesh Kumar Assistant Professor Department of Electronics, PSG College of Arts & Science, Coimbatore 641014, India. Dr. R. Kannusamy Head Department of Electronics, PSG College of Arts & Science, Coimbatore 641014, India. Abstract Power consumption plays an important role in any of the integrated circuit and is listed as one of the most important top three challenges in the international technology roadmap for semiconductors. In any type of integrated circuit, clock distribution network and flip flop consumes large amount of power because they make and employ maximum number of internal transitions. In the clock distribution network, the clock signal distributes from a common point to all the elements that needed for the circuit. However this function is more important to the synchronous system, much attention has to give to the characteristics of these clock signals. In the synchronous system, a clock distribution network consumes a large amount of total power because of the high operation frequency of highest capacitance. An effective way to reduce the capacity of clock load is by minimizing the number of clocked transistor. Clock distribution networks consumes a large amount of chip power in a low swing differential capturing flip flop system and also it creates a more number of clocked transistor. But by using the proposed system, the clocked paired shared flip flop is used to reduce the number of local clocked transistors. Keywords: Low swing differential capturing flip flop; Clocked transistor; Pass transistor, Transmission gates INTRODUCTION In many VLSI chips, the total chip power consumption will occur with the power dissipation in the clocking system, including clock distribution network and flip-flops. This type of design is to use more pipeline stages for high throughput, which will increase the number of flip flops in a chip. Thus, it is very important to reduce the power consumption in both the clock trees and the flip-flops. VLSI is the main field which involves packing more and more logic devices into smaller and smaller size areas. But in the recent years, there is an increasingly giving importance to the power is being given similar importance same as the area and the speed. The clock network constitutes one of the most important segments of a synchronous VLSI chip as it can significantly influence the speed, area, and power dissipation of the system. Generally there are four different sources of power dissipation in digital CMOS circuits. They are: switching (or dynamic) power, short-circuit power, leakage power, and static power. The physical design of a chip is built on a hierarchy of transistors, macros, units and microprocessor cores. In that three types of macros are employed and they are custom, SRAM and synthesized. During the high-level design phase of process, the macros, units, core and chip are all assigned contracts for timing, area, shape, wiring tracks and I/O. Timing and physical design of the chip are done concurrently on all the levels of the hierarchy. All major buses are routed early in the design. During the last decade, extensive considerations and importance have been given to the use of pass-transistor logic networks in the implementation of digital systems. To reduce the power consumption in clock distribution networks, several small-swing clocking schemes have been proposed and their potential for practical applications has been shown [3], [4]. The previous half-swing scheme requires four clock signals and in that it suffers from skew problems among the four clock signals and requires additional chip area [4]. To reduce the leakage current [3] a reduced clock swing flip-flop (RCSFF) is used additionally for high power supply voltage. A single-clock flip-flop is used for half swing clocking and does not need high power-supply voltage but has a long latency [2]. The hybrid-latch flip-flop (HLFF) and semi dynamic flip-flop (SDFF) have been known as the fastest FFs, but they consume large amounts of power due to redundant transitions at internal nodes [5] [7]. To reduce the redundant power consumption in internal nodes of high-performance flip-flops, the conditional capture flip-flop (CCFF) has been proposed [8]. However, for the clock tree the HLFF, SDFF, and CCFF use full-swing clock signals that cause significant power consumption. The focus of this work is to develop a unified method for mapping logic functions into circuit realizations using different pass-transistor logic styles. The Pass- Transistor Logic (PTL) is a better way to implement circuits designed for low power applications. EXISTING SYSTEM-A LITERATURE SURVEY Seyed E. Esmaeili Rttl. et al has proposed conditional capturing which can be used to minimize the power at low data switching activities by means of eliminating redundant internal transitions. This is done because to reduce the short 233

circuit power. The load pmos transistor in the reduced swing inverters is always in saturation since Vgs=Vds and also it lowers the voltage at the source of the second pmos in each inverter to approximately VDD- Vtp thus turning it off when the low-swing sinusoidal clock signal reaches its peak voltage. Circuit Diagram : S.E. Esmaeili A.J. Al-Khalili G.E.R et al explains the differences in the results for the response of the dual edge triggered flip-flop obtained from the schematic and at the post-layout simulations. When inverted using an inverter, the resonant sinusoidal clock signal becomes a square wave clock. The effect of the long rise time of the positive edge of the sinusoidal clock signal CLK1, which defines the start of the first evaluation interval TE1, compared to the effect of the short rise time of the inverted square signal CLK2, which defines the start of the second evaluation interval TE2, on the TDQ delay against TDCLK delay is also investigated. Chulwoo Kim, et al Member, IEEE has designed a schematic of our LSDFF composed of a data-sampling front end and data-transferring at the back end. In the internal nodes X and Y are charged and discharged according to the input data Din, not by the clock signal. Therefore, internal nodes of LSDFF switch only when the input changes. LSDFF does not require a conditional capture mechanism, as used in the pulsetriggered true-single-phase-clock (TSPC) flip-flop (PTTFF). In PTTFF, either one of the data-precharged internal nodes is in floating state, which may cause malfunction of the flip-flop. Also, its internal node does not have a full voltage swing, which causes performance degradation. Hamid Mahmoodi, et al has designed the conventional energy recovery clocked flip-flop and it consists of a four-phase transmission-gate (FPTG) flip-flop. FPTG is similar to the conventional transmission gate flip-flop (TGFF) except that it uses four-transistor pass-gates designed to conduct during a short fraction of the clock period. The main disadvantage of this flip-flop is it needs the four sinusoidal clock signals and has the long delay. Transistors will be required for the passgates are large, resulting in large flip-flop area. Another approach for energy recovery clocked flip-flop is to locally generate square-wave clocks form a sinusoidal clock. Figure 1: LS-DCCFF Low-swing differential conditional capturing flip-flop (LS- DCCFF) [1] operates with a low-swing sinusoidal clock during the operation of reduced swing inverters at the clock port. By means of a low-swing differential conditional capturing flip-flop (LS-DCCFF) for use in low-swing LC resonant CDNs. This is the first application of low-swing clocking to LC resonant CDNs. Low-swing clocking would normally require two voltage levels. These voltage levels can be generated using one of two schemes: (1) dual-supply voltages and (2) regular power supply. The first scheme adds circuit and extra area complexity to the overall chip design and layout. In adding, it is easy to construct double edge triggering flip-flop based on the simple clocking structure in CPSFF. Additional CPSFF could be used as a level converter flip-flop automatically, because incoming clock and data signals only drive nmos transistors. PROPOSED SYSTEM Clock pair shared flip flop (CPSFF) is used in the clock distribution networks. Number of clocking transistors is less when compared to the low swing differential conditional capturing flip flop. Hence it consumes low power with less area. Figure 2: Clocked pair shared flip-flop. 234

In this CPSFF, high swing and floating values were obtained and at the same time the output is not properly obtained. Due to the clock input as square wave there is a high output swing in this circuit. For that purpose resonant circuit (inverter stage) is used to overcome this drawback and some modification has been done. Here LS-DCFF is the proposed system of this paper, in which sine wave is applied as input to reduce the high output swing. By using this method the transistor count is also reduced. To reduce the power consumption of the proposed CSPFF circuit it is proposed to use modified pass transistor logic which reduces the transistor count also. Hence both the proposed (CSPFF) and proposed-d (CSPFF with Pass transistor Logic) techniques has been designed. There are two main pass-transistor circuit styles: those that use NMOS only pass-transistor circuits, like CPL [11], and those that use both NMOS and PMOS pass-transistors, DPL [9] and DVL [10]. Complementary pass-transistor logic [11] consists of complementary inputs/outputs, a NMOS passtransistor network and CMOS output inverters. The circuit function is implemented as a tree consisting of pull-down and pull-up branches. Since the threshold voltage drop of NMOS transistor degrades the high level of pass-transistor output nodes, the output signals are restored by CMOS inverters. CPL has traditionally been applied to the arithmetic building blocks [11 13] and has been shown to result in high-speed operation due to its low input capacitance and reduced transistor count. To avoid problems of reduced noise margins in CPL, twin PMOS transistor branches are added to N-tree in DPL [9]. This addition results in increased input capacitances. However its symmetrical arrangement and double-transmission characteristics compensate for the speed degradation arising from increased loading. The full swing operation improves circuit performance at reduced supply voltage with limited threshold voltage scaling. COMPARISON OF POWER-SAVING APPROACHES Among other logic style, the CPSFF pass-transistor logic style, proves to have the best performance values and lowest power-delay products. Only the single-rail style of LEAP is a viable alternative if lower power and compatibility with cellbased design are of concern. The advantages of efficient circuit and layout implementation of simple gates, the absence of swing restoration circuitry, and the single-rail logic property are predominant in most circuit applications. We have described the power-saving approaches of several conventional flip-flops and the proposed CSPFF in the previous sections. In this section, we will summarize the different approaches to reduce the power consumption of the clocking scheme. First, CSPFF reduces the power consumption of LSDFF by removing redundant internal data holding node switching. Second, small-swing clock flip-flops reduce the power consumption in the clock network by reducing the clock voltage swing. Also, the capacitance of the clocked transistors of the FF is also reduced in CSPFF. Finally, CSPFF uses both a low-swing clock and a doubleedge triggered operation to reduce power consumption in the clock network. Further, CSPFF does not have any redundant internal data holding node switching. Table I summarizes power-saving approaches in each flip-flop. Table I: Comparisons of Flip-Flop No. of No. of Transistor Clked Transistor Power ( W) Power Dissipation LSDFF 28 3 132 26.3 CPSFF 22 2 116 19.4 CPSFF with Pass transistor Logic 18 2 110 14.2 The main drawback of DPL is its redundancy, i.e. it requires more transistors than actually needed for the realization of a function. To overcome the problem of redundancy, a new logic family, DVL [10, 14], is derived from DPL. It preserves the full swing operation of DPL with reduced transistor count. As introduced in [10], DVL circuit can be derived from DPL circuits in three steps. Application : Low power application in deep submicron circuits In future mobile Systems for low leakage & enhanced battery efficiency. Registers Counting purpose Single bit storage device Timing operation Frequency division Parallel data storage Figure 3: Clock network power consumption comparisons. 235

IMPLEMENTATION RESULTS Figure 4(a): Simulation Output of LS-DCCFF Figure 4(c): Simulation Output of CSPFF with Pass Transistor Logic We have analyzed several conventional flip-flops and have developed a new flip-flop in a 0.18- m CMOS process. Each flip-flop is optimized for power-delay product. The low-swing clock voltage for LSDFF was about 1 V. The output load capacitance was assumed to be 100 F. The simulated waveforms of the LSDFF are shown in Fig. 4(a). Comparisons of simulation results for the two FFs are summarized in Table I. As Fig. 3 shows, CSPFF-PTL has the least power consumption when the input pattern does not change, whereas LSDCCFF and CSPFF still incur high power consumption even though the input stays 1. For an average input switching activity of 0.3, the power consumption of CSPFF-PTL is reduced by 25.6% -44.6% over conventional FFs. Figure 4(b) Simulation Output of proposed method (CPSFF) CONCLUSION In our investigations, a low-swing clock shared paired flipflop (CSPFF with PTL) has been developed in a 0.18 m dual- CMOS process to the reduce power consumption in both the clock trees and the flip-flops. The CSPFF-PTL inherently avoids unnecessary internal node transitions. Furthermore, CSPFF-PTL uses a double-edge triggered operation as well as a low-swing clock, which reduces power consumption in the clock tree. The overall power saving of CSPFF is significant over conventional high-performance flip-flops with comparable D-to-Q delay. Also, an additional 78% power saving is achieved in the clock network. The negative setup time of CSPFF-PTL helps to overcome the clock skew problems. With simple logic embedding, CSPFF-PTL reduces overall delays within a pipeline stage. CMOS also compares favorably with regard to circuit speed and layout efficiency. Its single-rail property is crucial for saving routing resources, which is an important issue in 236

submicron VLSI. Its robustness against transistor downsizing and voltage scaling allows the efficient power optimization of noncritical signal nets and of entire circuit components. As a matter of fact, circuit robustness is becoming a key aspect in deep-submicron VLSI, where variation ranges of many process and environment parameters will increase massively. This, together with its ease-of-use, makes complementary CMOS the logic style of choice for low-power, low-voltage implementation of arbitrary combinational circuits and for design automation i.e., low-power synthesis and cell-based design, also and particularly in the future [10]. However, other logic styles, such as CPL, may still be viable candidates for low-power high-speed implementation of dedicated circuit applications like multipliers. REFERENCE [1] H. B. Bakoglu, Circuits, Interconnections and Packaging for VLSI. New York: Addison Wesley, 1990. [2] Y.-S. Kwon, I.-C. Park, and C.-M. Kyung, A new single clock flip-flop for half-swing clocking, IEICE Trans. Fundamentals, vol. E82-A, no. 11, pp. 2521 2526, Nov. 1999. [3] H. Kawaguchi and T. Sakurai, A reduced clock-swing flip-flop (RCSFF) for 63% clock power reduction, IEEE J. Solid-State Circuits, vol. 33, pp. 807 811, May 1998. [4] H. Kojima, S. Tanaka, and K. Sasaki, Half-swing clocking scheme for 75% power saving in clocking circuitry, IEEE J. Solid-State Circuits, vol. 30, pp. 432 435, Apr. 1995. [5] E. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, Flow-through latch and edge-triggered flip-flop hybrid elements, in IEEE Int. Solid-State Circuits Conf., Feb. 1996, pp. 138 139. [6] F. Klass, Semi-dynamic and dynamic flip-flops with embedded logic, in Symp. VLSI Circuits Dig. Tech. Papers, June 1998, pp. 108 109. [7] V. Stojanovic and V. G. Oklobdzija, Comparative analysis of master slave latches and flip-flops for highperformance and low-power systems, IEEE J. Solid- State Circuits, vol. 34, pp. 536 548, Apr. 1999. [8] B. Kong, S.-S. Kim, and Y.-H. Jun, Conditionalcapture flip-flop technique for statistical power reduction, in IEEE Int. Solid-State Circuits Conf., Feb. 2000, pp. 290 291. [9] M. Suzuki, et al., 1.5 ns CMOS 16 16 multiplier using complementary pass-transistor logic, IEEE Journal of Solid-State Circuits 28 (11) (1993) 599 602. [10] V.G. Oklobdz ˇija, B. Duchene, Pass-Transistor Dual Value Logic for Low-Power CMOS, Proceedings of the 1995 International Symposium on VLSI Technology, Systems, and Applications, May June, 1995, pp. 341 344. [11] K. Yano, et al., 3.8 ns CMOS 16 16-b multiplier using complementary pass-transistor logic, IEEE Journal of Solid-State Circuits 25 (2) (1990) 388 395. [12] I.S. Abu-Khater, A. Bellaouar, M.I. Elmasry, Circuit techniques for CMOS low-power high-performance multipliers, IEEE Journal of Solid-State Circuits 31 (10) (1996) 1535 1546. [13] P.Y.K. Cheung et al., High speed arithmetic design using CPL and DPL logic, Proceedings of the 23rd European Solid-State Circuits Conference, September 1997, pp. 360 363. [14] V.G. Oklobdz ˇija, B. Duchene, Synthesis of highspeed pass-transistor logic, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing 44 (11) (1997) 974 976 [15] C. J. Anderson, J. Petrovick, J. M. Keaty, J. Warnock, G. Nussbaum, J M. Tendier, C. Carter, S. Chu, J. Clabes, J. Dilullo, P. Dudley, Harvey, B. Krauter, J. LeBlanc, L. Pong-Fei, B. McCredie, G. Plum P. J. Restle, S. Runyon, M. Scheuermann, S. Schmidt, J. Wagoner, R.Weiss, S.Weitzel, and B. Zoric, Physical design of a fourth-generation POWER GHz microprocessor, in Dig. Tech. Papers, IEEE Int. Solid- State Circuits Conf., 2001 [16] C. J. Anderson et al., Physical design of a fourthgeneration POWER GHz microprocessor, in IEEE ISSCC Dig. Tech. Papers, Feb. 2001 [17] F. H. A. Asgari and M. Sachdev, A low-power reduced swing global clocking methodology, IEEE Trans. Very Large Scale Integr. (VLSI)Syst., vol. 12, no. 5, pp. 538 545, May 2004. Authors Biography D. Mahesh Kumar obtained his B.Sc., Electronics and M.Sc., Applied Electronics from PSG College of Arts and Science, Coimbatore in 1996 and 1998 and also M.Phil., in Electronics from PSG College of Arts and Science, Coimbatore in 2006. He has been working in the teaching field for about 16 years. His area of interest includes VLSI Design, Wireless Communication and Embedded System. He has published many articles in the reputed national and international journals and also one book on the topic Textbook of Operational Amplifier and Linear Integrated Circuits by Macmillan India Ltd., New Delhi. Dr. R. Kannusamy obtained his B.Sc., Physics from Kandasamy Kandars College, Velur (Namakkal Dt.,) in 1982 and M.Sc., Physics from NGM College, Pollachi in 1984 and also M.Phil., in Physics from Bharathiar University, Coimbatore in 1986. He completed Ph. D., in the year 2009. He has been working in the teaching field for about more than 25 years. He has published many articles in the reputed national and international journals. 237