Introduction and recent results of Multi-beam mask writer MBM-1000

Similar documents
Recent results of Multi-beam mask writer MBM-1000

Multi-Shaped E-Beam Technology for Mask Writing

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

The Challenges in Making NIL Master Templates

PROGRESS OF UV-NIL TEMPLATE MAKING

Readiness and Challenges of EUV Mask

Electron Beam Technology

Nano-Imprint Lithography Infrastructure: Imprint Templates

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Introducing The ebeam Initiative

-Technical Specifications-

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

Leica VB-6HR Lithography System

Screen investigations for low energetic electron beams at PITZ

Auto classification and simulation of mask defects using SEM and CAD images

Self-Aligned Double Patterning for 3xnm Flash Production

EUV Blank Inspection

PERFORMANCE SPECIFICATION SHEET ELECTRON TUBE, CATHODE RAY TYPE 7AGP19

General Specifications

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Lossless Compression Algorithms for Direct- Write Lithography Systems

SLD266ZS. Octa-Beam AlGaAs Laser Diode. Description. Features. Applications. Recommended Operating Optical Power Output

25W 9xxnm Uncooled Multimode Laser Diode Module

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Andrei Seryi, Toshiaki Tauchi. December 15-18, 2008

The Transition to Patterned Media in Hard Disk Drives

Development of Multiple Beam Guns for High Power RF Sources for Accelerators and Colliders

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full.

Reading. 1. Displays and framebuffers. History. Modern graphics systems. Required

Focused Ion Beam System MI4050

Current status of XFEL/SPring-8 project and SCSS test accelerator

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Overcoming Challenges in 3D NAND Volume Manufacturing

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Cathode Studies at FLASH: CW and Pulsed QE measurements

DIRECT DRIVE ROTARY TABLES SRT SERIES

Entry Level Assessment Blueprint Audio-Visual Communications Technology

New Medical Light Source using NTT s Communication Laser Technology

Display Systems. Viewing Images Rochester Institute of Technology

Wafer defects can t hide from

The Construction Status of CSNS Linac

The hybrid photon detectors for the LHCb-RICH counters

24. Scaling, Economics, SOI Technology

Recent APS Storage Ring Instrumentation Developments. Glenn Decker Advanced Photon Source Beam Diagnostics March 1, 2010

Digital SWIR Scanning Laser Doppler Vibrometer

CHANGING THE WAY LIGHTING DESIGNERS USE LASERS

Electron Beam Technology

Optical Perfection. HIGHYAG Beam Delivery Products

Beam Instrumentation for CTF3 and CLIC

LASER REGISTRATION FORM (LS-1)

3-D position sensitive CdZnTe gamma-ray spectrometers

We had to design a Led circuit that would contain multiple Leds, activate them by address, then holds the flashing addressed Led in memory and

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Sealed Linear Encoders with Single-Field Scanning

Automatic Defect Recognition in Industrial Applications

4.9 BEAM BLANKING AND PULSING OPTIONS

VIDEO XTREME PORTFOLIO. VX-40d, VX-50d, VX-60d, VX-80d

Status of the Jefferson Lab Polarized Beam Physics Program and Preparations for Upcoming Parity Experiments

Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems. Hsin-I Liu

ELECTRON OPTICS OF ST-X, ST-Y SERIES OF STREAK & FRAMING CAMERA TUBES

GMOS CCD Upgrade Options S. Kleinman, J. Jensen 26Sep08

Monolithic Thin Pixel Upgrade Testing Update. Gary S. Varner, Marlon Barbero and Fang Fang UH Belle Meeting, April 16 th 2004

Teltron Delection Tube D

RF plans for ESS. Morten Jensen. ESLS-RF 2013 Berlin

MAP Optical Power Meter Module (mopm-b1)

Progress in Scale-up of 2G HTS Wire at SuperPower Part I

Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems

IS 140 IGA 140 IS 140-PB IGA 140-PB IS 140-PN IGA 140-PN IS 140-ET IGA 140-ET

Operation of CEBAF photoguns at average beam current > 1 ma

Empirical Model For ESS Klystron Cathode Voltage

KVANT. Spectrum 1,6W RGB laser system. Product datasheet

Display Technologies CMSC 435. Slides based on Dr. Luebke s slides

MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps

IFM65UG OPS-COMPLIANT 16/7 400 NITS ULTRA HD INTERACTIVE FLAT PANEL DISPLAY

Displays. History. Cathode ray tubes (CRTs) Modern graphics systems. CSE 457, Autumn 2003 Graphics. » Whirlwind Computer - MIT, 1950

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

How to Match the Color Brightness of Automotive TFT-LCD Panels

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705

Light Emitting Diodes (LEDs)

STM49UG02 49 SOC BASED/OPS COMPLIANT 16/7 FULL HD DIGITAL SIGNAGE DISPLAY

D-ILA HD Projection Systems DLA-HD10K DLA-HD10KS. Native 1080p High-Definition. Images with Superb Colour Reproduction

Reading. Displays and framebuffers. Modern graphics systems. History. Required. Angel, section 1.2, chapter 2 through 2.5. Related

IMAGING GROUP. * With dual port readout at 16MHz/port Detector shown with a C-mount nose and lens, sold separately

Y.XST225-VF. INTERCONTROLE Escoffier 1 XYLON MG225VF RX PDS

3. Displays and framebuffers

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

Specifications. Mechanical Information. Mass (grams) Dimensions (mm) 15 x 75 Housing. Anodised Aluminium Isolated Body

Tender Notification for the procurement of a "Dual beam (FIB - FE SEM) system" at IISc (Last Date for submission of tenders: 31st March 2016)

New GRABLINK Frame Grabbers

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Digital SWIR Scanning Laser Doppler Vibrometer

Advanced Display Manufacturing Technology

Altman Lighting Spectra Cyc 50 Specification

SMT Encoder for High Performance, High Volume Designs Small Size High Resolution Low Cost ChipEncoder Reflective Surface Mount Encoder Features

CR7000. CRT Analyzer & Restorer. Easily Test And Restore CRTs With The Most Complete Tests Available For Added Profit And Security.

Review Report of The SACLA Detector Meeting

IMPAC Infrared Thermometers

HAPD and Electronics Updates

Transcription:

Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative

NFT s mask writer roadmap 2016 Device Production 2016 2017 2018 2019 2020 Remarks ITRS 2013 Logic N10 N7 N7 N5 N5 Node name DRAM 22 20 18 17 15 Bit line hp (nm) Flash 14 13 12 12 12 Gate hp (nm) EBM-9000 2013 N14, 10 Mask Writer EBM-9500 MBM-1000 2015 2017 N7 N5 MBM-2000 2019 N3 NuFlare keeps on releasing leading-edge mask writers every two years to support semiconductor industry for more than 15 years. We will launch MBMW to comply with ITRS roadmap. MBM-1000 is to be released in 2017 for N5. MBM-2000 will be coming in 2019 for N3. Slide 2

NFT s MBMW ready to launch The 3rd technical innovation for futuristic mask writing Slide 3

VSB Electron gun Multi-beam Electron gun 1 st shaping aperture Shaping deflectors 2 nd shaping aperture Condenser lenses Projector lenses Shaping aperture array (SAA) Blanking aperture array (BAA) Condenser lens Projection lens Sub deflectors Main deflectors Objective lens Sub deflectors Main deflectors Objective lens single shot up to 500 na Reticle total current 500 na Key technologies Advantage Limitation VSB Single Variable Shaped Beam High current density High speed deflection Best cost performance for Med- Low pattern density/doses High doses and pattern densities impact write time MB Massive number of beams High-speed data path and BAA Gray beam writing Constant write time for all pattern densities Enables high doses Not cost effective for Med-Low pattern densities and doses Narrow process window due to gray beam Slide 4

Throughput relative to Shot Count MB is advantageous with shot counts > ~200 Gshot/pass. Slide 5

Write time [h] Throughput relative to Dose MB is advantageous for Shot count > 200 G/pass and Resist sensitivity > 75 uc/cm 2 45 40 35 30 25 20 15 VSB vs MBM-1000 Write Times MBM-1000 is better for this region EBM-9500 (250 Gshot/pass) EBM-9500 (500 Gshot/pass) EBM-9500 (1000 Gshot/pass) 10 5 0 0 50 100 150 200 250 300 Exposure Dose [µc/cm2] MBM-1000 (independent (Independent of of shot Shot count) count; 4-pass writing) Slide 6

Key features of NFT MBMW High-speed data path with 10-bit dose control 1023 dose levels/pass mandatory for <0.1nm CD control accuracy Gray beam writing with advanced correction methods inherited from existing EBM technologies (PEC/FEC/LEC) All corrections processed real-time / in-line 50 kv single-stage acceleration High resistance to external noise through entire beam path Column and BAA at ground level resulting in safe and stable operation Electron source 2 A/cm 2 for MBM-1000, 4 A/cm 2 for MBM-2000 Large illumination area with high uniformity for BAA configuration Air bearing stage and field-proven mask holding mechanism EUV mask writing capability GMC-TV (Position correction for mask writer holder to scanner chucking) In-line EUV-PEC (1µm range corrections) Slide 7

Tool configuration (EBM, MBM) Item EBM-9500 MBM-1000 Accel. voltage 50 kv 50 kv Cathode 1200 A/cm 2 2-4 A/cm 2 Beam blur r < r Beam size VSB ( 250 nm) 10 nm x 10 nm beamlet 82 µm x 82 µm array Beam current 500 na @ max shot size 500 na in total Stage Frictional drive with variable speed Air bearing stage with constant speed Data format VSB12i, OASIS.MASK MBF (polygon support), VSB12i, OASIS.MASK Corrections for writing accuracy PEC/FEC/LEC, GMC, CEC, GMC-TV, TEC PEC/FEC/LEC, GMC, CEC, GMC-TV, EUV-PEC Slide 8

Standard specification Specification EBM-9000 EBM-9500 MBM-1000 Global Image Placement accuracy [nm 3 ] 3.0 2.1 1.5 CD Uniformity [nm] Global [3 ] 3.0 2.5 1.5 Local [3 ] 1.3 1.3 1.0 Beam blur r r' (< r) # Mask write time [hours] (130mmx100mm) - - 12 @ 75 µc/cm 2 VSB VSB Beam size [nm] 10 (0.1 to 250) (0.1 to 250) Current density [A/cm 2 ] 800 1200 2 # holds in the case that total beam current is sufficiently small. Slide 9

MBM-1000 Alpha Alpha tool is running at factory for verification of printing performance. 50 kev electron source Large-area projection column with BAA/SAA DACAMP for deflection Slide 10

Resolution performance HP 20 nm 1:1 L&S patterns resolved. Demonstrated better resolution than EBM series. Resist images using ZEP520 50 nm thickness @ 160 uc/cm 2 Slide 11

Progress on key features Cathode Item MBM-1000 Current Status 2-4 A/cm 2 (>80 µm area) Ready Beam blur < r (smaller than 9K) Proven Beam size square beamlet 10 nm Ready BAA Deflection alpha version Ready HVM version Dec. 2016 Two stages deflection with stage tracking Ready Stage Air bearing stage Ready Data path VSB12i MBF (supports curvilinear pattern) Ready Oct. 2016 Safety SEMI, CE compliant Ready Slide 12

Schedule Local area writing by Alpha tool: Dec. 2015 Demonstrated better resolution than EBM-9500 Test pattern full area writing : Mar. 2016 Beta tool beam on : Jul. 2016 Customer pattern demo writes : Oct. 2016 Upgrade to high-speed data path : Q1 2017 First HVM delivery : Q4 2017 Slide 13

NuFlare, Integrating your needs Design & Development Service Quality Manufacturing