Approaching Zero Etch Bias at Cr Etch Process

Similar documents
Multi-Shaped E-Beam Technology for Mask Writing

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Nano-Imprint Lithography Infrastructure: Imprint Templates

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

PROGRESS OF UV-NIL TEMPLATE MAKING

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Auto classification and simulation of mask defects using SEM and CAD images

Because Innovation Matters

Precision testing methods of Event Timer A032-ET

The Transition to Patterned Media in Hard Disk Drives

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Readiness and Challenges of EUV Mask

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Deep Silicon Etch Technology for Advanced MEMS Applications

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

Lossless Compression Algorithms for Direct- Write Lithography Systems

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

The Challenges in Making NIL Master Templates

Mechanical aspects, FEA validation and geometry optimization

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Challenges in the design of a RGB LED display for indoor applications

Standard Operating Procedure of nanoir2-s

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Overcoming Challenges in 3D NAND Volume Manufacturing

EUV Blank Inspection

THE RELATIONSHIP OF BURR HEIGHT AND BLANKING FORCE WITH CLEARANCE IN THE BLANKING PROCESS OF AA5754 ALUMINIUM ALLOY

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES

Impact of DMD-SLMs errors on reconstructed Fourier holograms quality

Self-Aligned Double Patterning for 3xnm Flash Production

Recent results of Multi-beam mask writer MBM-1000

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Spectroscopy on Thick HgI 2 Detectors: A Comparison Between Planar and Pixelated Electrodes

Cladding Pumped Amplifier Using Seven-core EDF

LEDs, New Light Sources for Display Backlighting Application Note

2x1 prototype plasma-electrode Pockels cell (PEPC) for the National Ignition Facility

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Power Consumption Trends in Digital TVs produced since 2003

Wafer Thinning and Thru-Silicon Vias

DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Development of Simple-Matrix LCD Module for Motion Picture

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Failure Analysis Technology for Advanced Devices

Laser Visible Light Communications

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Research & Development of Surface-Discharge Color Plasma Display Technologies. Tsutae Shinoda

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Sodern recent development in the design and verification of the passive polarization scramblers for space applications

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

CHAPTER 3 SEPARATION OF CONDUCTED EMI

ISO/IEC INTERNATIONAL STANDARD. Information technology - 8 mm wide magnetic tape cartridge for information interchange - Helical scan recording

Selected Problems of Display and Projection Color Measurement

Effect on Beam Current on varying the parameters of BFE and Control Anode of a TWT Electron Gun

Facedown Terminations Improve Ripple Current Capability

UNIVERSAL SPATIAL UP-SCALER WITH NONLINEAR EDGE ENHANCEMENT

BitWise (V2.1 and later) includes features for determining AP240 settings and measuring the Single Ion Area.

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

THE OPERATION OF A CATHODE RAY TUBE

CARLITE grain orien TEd ELECTRICAL STEELS

Enhanced Resist and Etch CD Control by Design Perturbation

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Microincrements IP67-related solutions

LED modules for illuminated signs Opto Semiconductors

NEXT ION OPTICS SIMULATION VIA ffx

Single-sided CZT strip detectors

Review Report of The SACLA Detector Meeting

FLOW INDUCED NOISE REDUCTION TECHNIQUES FOR MICROPHONES IN LOW SPEED WIND TUNNELS

ALDEN COMPUTATIONAL ANALYSIS OF WESTFALL S OPEN CHANNEL MIXER FOR THE COLBORNE SEWAGE TREATMENT PLANT. Solving Flow Problems Since 1894

Nanotechnology Solutions Partner

SEMICONDUCTOR TECHNOLOGY -CMOS-

Data flow architecture for high-speed optical processors

A Power Efficient Flip Flop by using 90nm Technology

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay

ISO/IEC INTERNATIONAL STANDARD

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

Laminar Design Screen Basket

Post-Routing Layer Assignment for Double Patterning

Beam test of the QMB6 calibration board and HBU0 prototype

Tech Paper. HMI Display Readability During Sinusoidal Vibration

CAEN Tools for Discovery

Figure 1: AFM image of a Tip-check sample

Characterization and improvement of unpatterned wafer defect review on SEMs

Quadrupoles have become the most widely used

Measurement of overtone frequencies of a toy piano and perception of its pitch

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

CHAPTER-9 DEVELOPMENT OF MODEL USING ANFIS

B-AFM. v East 33rd St., Signal Hill, CA (888)

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays

RGA13, 12/10/17 Ultra High Resolution 20mm Quadrupole with Dual Zone operation

White Paper JBL s LSR Principle, RMC (Room Mode Correction) and the Monitoring Environment by John Eargle. Introduction and Background:

SEMICONDUCTOR TECHNOLOGY -CMOS-

FinFETs & SRAM Design

Transcription:

Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden, Germany b Applied Materials, 974 E Arques Avenue M/S 81331, Sunnyvale, CA94086 ABSTRACT Increasing demand for high end lithography mask especially phase shift masks and narrowing the specification, lead to development of etch processes with minimum critical dimension uniformity (CDU) and very low etch bias. The etch bias becomes one of the limiting parameters for the Cr etch process, due to strong cross links between etch bias and other etch characteristics like linearity and loading effect, thus contributing strongly to the CDU for masks with non uniform pattern distribution. The goal was to develop a Cr etch process with very low etch bias, keeping the other parameters at the same level and providing a wider process window for further optimization of the CDU, loading effect and linearity. As proposed by Faure et al. one possible way seems to be the limitation of the ion energy and the ion density by using plasma pulsing or after glow discharge etch conditions. 5 In the paper we want to present a feasibility study of one specific approach to the mentioned methods and compare different ways for measurement of the CDU and etch bias. The work presented was done on the Applied Materials Tetra II Mask Etch system. Keywords: Cr dry etch, etch bias reduction, CD Uniformity, linearity, loading effect INTRODUCTION Reduction of the etch bias becomes one of the major tasks for each technology node process development, since the SRAF feature size is more or less comparable to the etch bias. Small etch bias is one of prerequisites for reaching the resolution of clear assist features, good pattern fidelity and last but not least good critical dimension (CD) linearity as shown further down. One can easily reduce the etch bias by reduction of the over etch time or the plasma power, but this reduction is connected to an increase of the CD uniformity and degradation of the sidewall slope. Due to this trade off between CD uniformity and sidewall slope on one side and the etch bias on the other one, no simple reduction is possible, without principal change of the etch parameters. The Cr etch rate is driven by radicals only, whereas the photo resist etch rate depends mainly on the ion density and so the ratio seems to be a way leading to etch bias reduction. 4 This hypothesis was tested experimentally during the process development for the Andromeda technology node and the results are presented and discussed in this paper. EXPERIMENT The experiments were performed at Applied Materials Tetra II mask etcher. To be able to judge the results, data of the new developed Andromeda etch process was compared to the previously available process for identical mask type. All experiments were done on Hoya NTAR7 Cr material coated with FEP171 positive CAR resist. Evaluating the new Andromeda etch process, four different mask designs were used in order to check CD uniformity for evenly and unevenly distributed pattern with different global pattern density. With this approach, contributions of the etch process to following parameters can be judged independently: Etch bias Radial CD uniformity Linear CD uniformity Pattern density dependent etch loading effect CD linearity The measurement sites are equally spaced across the mask area of 132x132 mm2, which corresponds well to the quality area of the blank material. For evaluation the 280 nm clear feature was used. 1 of 9

ETCH BIAS MEASUREMENT The etch bias is defined as widening of the clear or narrowing of the dark structure due to the etch process and determined by comparing the opening before and after Cr etch in resist and Cr layer. The pre measurement is done on 300 nm thick FEP171 resist layer, showing the typical CAR resist footing and in worst case also T- topping. The post measurement is done on approx. 70 nm thick CrOx/Cr layer with well-defined edge and steep sidewall slope. Two different methods are typically used for estimation of etch bias. Most commonly used are optical or CD SEM measurement, which allows one to compare the CD variation for a huge number of points and almost any feature size. An alternative method is AFM, which is typically measured on less measurements sites and the feature size is restricted by the shape and size of the measurement tip. The biggest advantage for AFM, is the independency of the material in contrasts to the CD SEM. Comparing both methods, several differences were identified: 1, The CD SEM evaluates the CD using a 2 dimensional picture, so the result is an average over certain structure length. The AFM measurement contains several scans shifted each by a couple of nm, but the result is not averaged over that many data points as at CDSEM. 2, Feature width measured by CD SEM depends on the material and shape of the sidewall of the structure, which is often different for resist and Cr measurement. AFM measurement is material independent and width can be estimated at any height of the feature. Figure 1 shows comparison of the CD post etch measurement and etch bias measured by CD SEM and an AFM tool. CD SEM [nm] 590 570 550 530 510 490 470 etch bias CD SEM [nm] 100 80 60 40 20 0-20 450 450 470 490 510 530 550 570 590-40 -20 0 20 40 60 80 100 a, AFM [nm] b, etch bias AFM [nm] Fig.1 - a, Correlation between the CD in Cr measured by means of AFM and CD SEM. b, Correlation between etch bias measured by CD SEM and AFM The measurement in Cr correlates quite well most probably because of the material contrast and excellent sidewall shape, whereas both measurement methods provide different values for etch bias even for the same feature. One obvious reason for the missing etch bias correlation is the variation of the CD in CD SEM measurement in resist. Other parameter, that affect the etch bias are resist type and litho process. They can potentially lead to variation in resist sidewall shape and cause an increase of the uncertainty of etch bias determination. To better understand the details we have to clarify, what is the right etch bias and estimate the etch bias at different height in the photo resist and Cr as shown in Fig.2.. -40 2 of 9

etch bias space [nm] 60 40 20 0 height in Cr 10 nm 20 nm 30 nm 40 nm 50 nm 60 nm 70 nm -20 0 100 200 300 height in resist [nm] Fig.2 - Etch bias measured on space by means of AFM as a function of the height of the measurement point in resist and Cr. The varying height of measurement point in Cr is represented by different symbols. The graph illustrates the dependence of the etch bias with respect to the position of the measurement point in resist and in Cr. This variation is caused by the difference in the sidewall angle and shape and cannot easily be avoided during the measurement. E.g. for a perfect 90 sidewall angle in Cr all points for given height in resist would be identical. This investigation proved that no generic method is valid for etch bias estimation, and comparison of two different processes is possible only using masks with the same litho process and measurement method. ETCH BIAS In order to determine the etch bias of the new Andromeda etch process, we decided to use the preceding Galaxy etch process as reference for etch bias and in parallel compare Andromeda and Galaxy processes using both CD SEM and AFM methods. Keeping the sidewall slopes as similar as possible (see Fig.3) the direct comparisons of etch bias values show the benefit of the Andromeda process. (Fig.4) a, b, Fig.3 - Cr sidewall slope for a, Galaxy and b, Andromeda etch process 3 of 9

Fig.3c - resist sidewall slope 100 etch bias AFM [nm] 80 60 40 20 Galaxy - space Galaxy - line Andromeda - space Andromeda - line 0 0 20 40 60 80 global Cr load [%] Fig.4 - Etch bias comparison at 4 different global pattern densities for low and high radical / ion processes. The etch bias was estimated using AFM and measured as widening of the space and narrowing of the line structure. From the SEM crossection of photo resist sidewall (Fig. 3c) one can estimate the photo resist pull back needed to achieve steep sidewall in Cr and to estimate the minimum lateral resist etch rate. Comparing these values for both processes another result becomes obvious. The Galaxy process removes laterally more resist than the estimated minimum resist pull back, whereas the Andromeda process approaches very well the minimum lateral resist pull back. As mentioned before, the etch bias has significant impact on the pattern fidelity. The lower the etch bias, the better the pattern fidelity. Since the pattern fidelity is one of the important factors especially for sub resolution assist features (SRAF s), one can determine at least qualitatively the capability of an etch process simply by comparing SRAF s. Fig. 5 shows the comparison between SRAF s for the Galaxy and Andromeda processes. 4 of 9

a, b, Fig.5 - Pattern fidelity of SRAF feature for a, Galaxy and b, Andromeda process. Courtesy of KLA Tencor Corp. CD UNIFORMITY As mentioned at the beginning, one of the major tasks was to optimize the Cr etch process for different global Cr loads and minimize the systematic contributions to the radial CD Uniformity and loading effect. The radial CD uniformity contribution was estimated at masks with uniformly distributed pattern at global Cr load of 1%, 38% and 75%. The loading effect was estimated using a mask with high Cr load in upper left quarter and very low Cr load in the remaining area of the mask. The final CD uniformity and the contribution of the Cr etch process are shown for both processes in Fig.6. Figure 6 shows very clearly that the Andromeda process provides good CD uniformity results for masks with uniform distributed pattern density, similar to the Galaxy process, which shows good performance too. The strength of the new process shows Figure 6d, where the loading effect plays an important role. Here the loading effect of the Andromeda process is almost negligible in comparison to the old process. The improvement of the CD uniformity due to the reduced loading effect is even more pronounced for the product masks. The loading effect on nonuniform distributed pattern as well as the loading effect at the border of the chip area is improved. This loading effect at the chip border is frequently not recognized as loading effect, but added to the radial CD uniformity footprint due to missing capability to differentiate between both effects for product masks. a, Galaxy CD Uniformity= 5.3 nm Andromeda CD Uniformity= 5.1 nm Fig.6a - Comparison of the final CD uniformity for Andromeda and Galaxy processes demonstrated at a, 1% mask 5 of 9

b, Galaxy CD Uniformity= 6.9 nm Andromeda CD Uniformity= 6.5 nm c, Galaxy CD Uniformity= 8.8 nm Andromeda CD Uniformity= 5.2 nm d, Galaxy CD Uniformity= 9.6 nm Andromeda CD Uniformity= 4.3 nm Fig.6 - Comparison of the final CD uniformity for Andromeda and Galaxy processes demonstrated at b, 38% mask c, 75% mask d, quarter quadrant mask (unevenly distributed Cr load) 6 of 9

Since the plots in Fig.6 show the worst case for CD uniformity at area of 132 x 132 mm 2, we decided to show CD uniformity plot for a product similar mask with a chip area of about 100 x 120 mm 2 to provide better idea about what the customer will see on products. The CD uniformity data was fitted to obtain linear and radial footprint on the mask. The residual portion of the CDU is basically the contribution of e.g. metrology and pattern generation. Fig.7 shows the final CD uniformity plot for this product like mask. Fig.7- Final CD uniformity plot for product like mask with chip area of about 100x120mm2 CDU =3.3 nm CONCLUSION The etch bias measurement is not only tool and method dependent, but depends also on feature size and sidewall shape in resist and Cr. Specifically the feature size and the sidewall shape contribute up to 20 nm and approx. 60 nm to the etch bias, respectively. The new Andromeda process proves the hypothesis, that the etch bias of Cr etch process can be influenced by changing the process parameters. Etch bias was confirmed to be one of the most important factors influencing the linearity and pattern fidelity. The performance of the Andromeda process exceeds performance of the former Galaxy process in all criteria: Optimization of the etch process resulted in a reduction of approx. 80 down to ca. 20 nm etch bias. The CD linearity for isolated clear, dense clear and dense dark structure improved significantly and is below 6 nm, CD linearity for isolated dark structure was slightly improved from ca. 12 nm down to 8 nm. The CD uniformity for evenly loaded masks is comparable to the Galaxy process, however the CD uniformity for unevenly loaded masks was improved by more than 50% mainly due to reduction of the loading effect. Last but not least the pattern fidelity was improved significantly as can be seen qualitatively in Fig. 5. 7 of 9

REFERENCES 1. T.Fujisawa, T.Iwamatsu, K.Hiruta, H.Morimoto, N.Harashima, T.Sasaki, M.Hara, K.Yamashiro, Y.Ohkubo, Y.Takehana, Evaluation of loading effect of NLD dry etching (2), 20 th.annual BACUS Symposium on Photomasks Technology, Proc. SPIE Vol. 4186, pp.549 (2001) 2. S.Aoyama, S.Sakamoto, T.Koike, N.Yoshioka, N.Harashima, A.Hayashi, T.Sasaki, Advanced Cr dry etching process, SPIE Symposium on Photomask and X-Ray Mask Technology VI, Proc. SPIE Vol.3748, pp.137 (1999) 3. Y.Granik, Dry etch proximity modeling in mask fabrication, Photomask and Next-Generation Lithography Mask Technology X, Proceedings of SPIE Vol.5130, pp.86 (2003) 4. R.Anderson, G.Ruhl, P.Nesladek, G.Prechtl, W.Sabisch, A.Kersch, M.Buie, Improvement of Chrome CDU by Optimizing Focus Ring Design, Photomask and Next-Generation Lithography Mask Technology X, Proceedings of SPIE Vol.5130, pp.264 (2003) 5. T.Faure, E.Fisch, C.Huynh, S.Crawford, Chrome Dry Etching for 65 nm Node Mask Manufacturing, 24 th Annual BACUS Symposium on Photomask Technology, Proceedings of SPIE Vol.5567, pp.155, (2004) 6. ITRS Roadmap for Semiconductor 2003 8 of 9