Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Similar documents
Nano-Imprint Lithography Infrastructure: Imprint Templates

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

PROGRESS OF UV-NIL TEMPLATE MAKING

The Transition to Patterned Media in Hard Disk Drives

Approaching Zero Etch Bias at Cr Etch Process

The Challenges in Making NIL Master Templates

Multi-Shaped E-Beam Technology for Mask Writing

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Readiness and Challenges of EUV Mask

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Self-Aligned Double Patterning for 3xnm Flash Production

Auto classification and simulation of mask defects using SEM and CAD images

Recent results of Multi-beam mask writer MBM-1000

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Because Innovation Matters

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Backside Circuit Edit on Full-Thickness Silicon Devices

High performance optical blending solutions

Development of OLED Lighting Applications Using Phosphorescent Emission System

~ 50, ,000 ~ $500K

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Wafer Thinning and Thru-Silicon Vias

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

Introduction and recent results of Multi-beam mask writer MBM-1000

High Density Optical Connector with Unibody Lensed Resin Ferrule

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Sub-micron high aspect ratio silicon beam etch

An Excimer Laser Micromachining System for the production of Bioparticle Electromanipulation Devices.

Advanced Display Manufacturing Technology

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Wafer defects can t hide from

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS-

Mechanical aspects, FEA validation and geometry optimization

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Polygon Scanners Capabilities, Applications and System integration. considerations

I. Introduction. II. Problem

Lensed Fibers & Tapered Ends Description:

Electron Beam Technology

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Deep Silicon Etch Technology for Advanced MEMS Applications

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

DIRECT DRIVE ROTARY TABLES SRT SERIES

Overcoming Challenges in 3D NAND Volume Manufacturing

Specification for Loose Tube Fiber Optic Cable (Non-Metallic, Dry Block, Figure-8) (G.652.D)

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

ABSTRACT 1 INTRODUCTION

Connection for filtered air

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

PRODUCT GUIDE CEL5500 LIGHT ENGINE. World Leader in DLP Light Exploration. A TyRex Technology Family Company

Failure Analysis Technology for Advanced Devices

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Characterization and improvement of unpatterned wafer defect review on SEMs

DLP Discovery Reliability Application Note

Possible Paths for Cu CMP

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Technology Overview LTCC

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

EUV Blank Inspection

OPTICAL POWER METER WITH SMART DETECTOR HEAD

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

1. Publishable summary

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Organic light emitting diode (OLED) displays

VISERA 4K UHD GET CLOSER. GET CLOSER Four Times the Resolution of Full HD.

Recent APS Storage Ring Instrumentation Developments. Glenn Decker Advanced Photon Source Beam Diagnostics March 1, 2010

OPTICAL FIBER CABLE, ALL DIELECTRIC SELF SUPPORTING CABLE

Electric Rotary Modules. Rotary Actuators

THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS

Sodern recent development in the design and verification of the passive polarization scramblers for space applications

Gurley Models LA18, LA20, LA25, High Resolution - Industrial Ruggedness

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

A dedicated data acquisition system for ion velocity measurements of laser produced plasmas

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

THE challenges facing today s mobile

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

BTC and SMT Rework Challenges

Focused-ion-beam fabrication of nanoplasmonic devices

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Screen investigations for low energetic electron beams at PITZ

University of Minnesota Nano Fabrication Center Standard Operating Procedure

SPATIAL LIGHT MODULATORS

Transcription:

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C W. Braker Lane, Austin, TX 78758 Photronics, Inc., 601 Millennium Drive, Allen, TX 75013 * Corresponding Author, svs@militho.com Abstract This article presents the results of a collaborative effort between Molecular Imprints, Inc. (MII) and Photronics, Inc. to develop a baseline process for fabricating Step and Flash Imprint Lithography (S-FIL TM ) templates that are compatible with lithography tools being developed by MII. S-FIL is a replication technique with sub-50nm resolution capability that has the potential to lead to a low cost, high throughput process. Template fabrication results and S-FIL patterning results on 200mm wafers are presented. Key Words Step and Flash Imprint Lithography, Imprint lithography using UV cured liquids, Template fabrication, Phase mask processes 1. Introduction Step and Flash Imprint Lithography is a replication technique with sub-20nm resolution capability that has the potential to lead to a low cost, high throughput process. Imprint lithography techniques are essentially micromolding processes in which the topography of a template defines the patterns created on a substrate. Traditional micromolding techniques involve the use of high temperatures and pressures during the patterning step [1]. S-FIL is an imprint lithography technique that operates at room temperatures and low pressures since it is based on a lowviscosity, UV-curable liquid approach. This approach is particularly suited for high-resolution layer-to-layer alignment. It is also insensitive to variations in pattern density, which could be a problem with high-pressure molding. The S-FIL process is outlined in Figure 1. Imprint lithography techniques have important advantages over conventional optical lithography. The parameters in the classic photolithography resolution formula (λ, k 1, and NA) are not relevant to imprint lithography because the technology does not use depend on wavelength of light and reduction lenses. Investigations in the sub-100nm regime indicate that the resolution is only limited by the pattern resolution on the template, and replication of sub-20 nm features has been demonstrated [1, 2, 3]. The resolution of imprint lithography is a direct function of the resolution of the template fabricating process. The S-FIL templates are 1X masters and they are fabricated using phase shift mask processing technology. The use of a thick, structurally stable template avoids problems associated with processing 1X membrane masks of the sort used in x-ray and ion projection lithography techniques. Since the ultimate resolution of imprint technologies appears to be limited by the resolution of the imprint template, it is desirable to extend the ability of existing pattern generators to pattern these 1X templates. As an example, by the year 2005, the ITRS calls for 65nm minimum resist features for microprocessor gate length and 130nm minimum mask feature size for optical proximity correction (OPC) features. Therefore, for 1X pattern transfer with imprint lithography, there would be a need to accelerate mask feature size targets in the ITRS to coincide with the resist feature targets. The use of a high resolution Leica e-

beam to write 1X templates with 20nm minimum features was discussed in [3]. However, such direct-write e-beam systems are not designed for throughput and are therefore not attractive from a cost point of view. Mask pattern generators are capable of much higher throughput. However, they are designed for fabricating 4X masks and do not need to resolve features smaller than about 2X for the smallest OPC features. In this article, the capabilities of mask writers for writing 1X features are investigated. Also, other manufacturing issues that are specific to S-FIL templates are presented. 2. Template Format S-FIL templates are essentially created using standard 6025 photomask plates and phase mask processes followed by a subsequent elimination of the chromium layer. Since the templates are 1X, a 6025 blank can create a multiplicity of S-FIL templates (Figure 2). Also, the geometry of the templates has been engineered to define field sizes for any desired dimensions. A wet etch process is used to establish a 15µm deep pedestal (see Figure 3) that includes the active area to be patterned. The size of the template is fixed (65mm by 65mm) regardless of the size of the active area. This allows for a standard interface between the template and the template chuck on the lithography tool. A potential advantage of the use of redundancy in creating templates in 6025 plates is the ability to perform die-todie inspection on two identical templates. 1X templates only require binary features and therefore have significantly lower data as compared to advanced 4X masks that have various forms of OPC to achieve deep sub-wavelength printing capability. Finally, 1X templates cover 1/16 th the area of a 4X mask. This has advantages with respect to CD control and yield. 3. Template Fabrication at Photronics The templates used for S-FIL are among the most challenging substrates for a mask maker to fabricate since the pattern generation is required to be the same magnification as the imprint on the wafer. The mask maker is required to achieve the highest resolution and pattern fidelity that is available for direct write onto the mask blank and use processes, which provide the minimum amount of pattern distortion for transfer into the substrate material. We will set forth to first, look at the use of laser pattern generators which are used today to produce high-end production 4X optical photomasks, and then investigate the capability of the best available 1X patterning methods. 3.1 Pattern Generation As mentioned previously, the proof of concept for demonstrating the S-FIL has been first approached using a conventional mask process with a laser pattern generator. The ETEC ALTA 3500 is used write the photomask blank with conventional I-Line resist and pattern transfer using a dry chrome etch and then a dry quartz etch into the substrate. This type of process is typical of a high end mask process used to produce 120 nm node 4x optical lithography phase shift masks (PSM s, cpsm s ) where the minimum mask feature size is about 400 nm. These processes can yield sub 100 nm wafer features, however they require a dual mask approach, as well as very tight control of the phase etch depth, uniformity, and placement of the second layer write which defines the quartz etch. Alternately, the S-FIL process uses self-aligned quartz etch pattern transfer and does not require the high degree of tolerance for the quartz etch or placement of the second write. Many of the processes used for making PSM s can be used to fabricate S-FIL templates. Figure 4 shows the process used to fabricate an S-FIL template, where the second write is done to define the pedestal areas of the template. When comparing the 1X template to a 4X reduction mask, one should consider the impact of mask pattern fidelity across the field. Since the 4X mask has a substantially larger field size, the critical dimension uniformity must be held to a tighter control. Correspondingly, the pattern placement has a larger impact at 4X than 1X. When chip sizes increase, as have been found in more advanced microprocessor designs, there is further degradation of CD

performance by the optical stepper lens aberrations across the lens area. In addition to these considerations, the mask fabrication yield for CD, defect control, and registration are improved by having the much smaller 1X field of the S- FIL template. A mask patterning process that can successfully reproduce the 1X dimensions needed for the S-FIL templates is required to be written using the most advanced electron beam pattern generator. The Toshiba EBM 3500B 50kEVis the production E-Beam write tool that has been chosen to fabricate the fine pattern geometry of the S-FIL template. The process that is used is based on an advanced chemically amplified resist, pcar. Table 2 shows the results of the Toshiba E-Beam and resist process, demonstrating 100 nm features. The imaging from the Photronics E-Beam Process is shown the SEM pictures in Figure 5. The resolution for isolated features is maintained to 90 nm. For the dense features, line integrity degrades at 100 nm where collapse of the line edge is visible. Contact features, shown in Figure 6 are well resolved at 130 nm. 3.2 Quartz Etching for the Template Imprint Features A quartz etch process for a conventional optical phase shift mask can be used to etch the S-FIL template. These processes are well developed, robust production quartz etch processes. These processes have been fine tuned to achieve very tight control of the etched trench depth, sidewall slope, surface roughness, micro loading, and micro trenching. The Photronics quartz etch process is performed using an Applied Materials Tetra DPS Centra II. The process uses a fluorine etch chemistry in an ICP plasma. The sidewall slope of the etched trench is found to be near 90 degrees, and the surface roughness < 0.5 nm. The S-FIL process can take advantage of these attributes, and is somewhat intolerant to the trench depth variation, or phase, as is with a PSM. The S-FIL depth tolerance is required to be within a +/- 10 nm, whereas the PSM needs to be held to a +/- 0.3 nm depth control. For these S-FIL templates, the quartz etch depth is held to 0.3 nm tolerance using the PSM process. Figure 7 shows a SEM cross-section of an etched quartz structure as would be found on the S-FIL template. As seen in the micrograph, the trench sidewall slope is 87 degrees, and the surface roughness is visibly the same for the etched and un-etched surfaces. 3.3 Second Level Aligned Write for Moat and Pedestal Etch The fabrication of an S-FIL template requires a secondary etch of the quartz substrate to establish the mesa. The desired etch depth is about 15 microns. This step requires protecting the previously patterned active area from the deep quartz etch. This is done by re-coating the mask with an I-Line resist and performing an alignment using the ALTA 3500 pattern generator in the same manner a PSM would be aligned for the subsequent quartz phase etch. Although the placement of the openings are not required to be better than 0.5 um, the S-FIL template can take advantage of a fine tuned overlay process for production PSM s (~60nm). The resist coating for the pedestal and moat etch is required to withstand several hours in the HF bath. This places a great emphasis on the resist adhesion to the chrome and quartz surfaces. Extended cleaning cycles, dehydration bakes and HMDS are used to optimize the adhesion of the resist in order to ensure the correct profile is achieved in the quartz sidewall adjacent to the active template area. 3.4 Dry Etch Development of Deep Pedestal Etch The current process of extended wet etching of the pedestal areas in Hydrofluoric acid places constraints on the production worthiness of the S-FIL process, as well as a safety issue for production personnel. Development is underway to replace the wet etch with a dry plasma etch. Using the Applied Materials Tetra DPS Centra II etch tool, a process has been developed which cuts the etch time in half of that of the HF etch. Tests have been done to indicate that the 15 um etch is completed in 140 min under plasma etch conditions of 40 % of the available tool operating power, and high selectivity has been found when using a chrome hard mask. Further work is continuing to optimize this process.

3.5 Template Dicing Process The S-FIL template is made from six-inch quartz substrates and for ease of fabrication a redundant printing method is used where there are four primary template patterns written on one six inch mask. The six-inch mask is then cut into four individual templates measuring 65 mm on a side, using a high precision dicing saw. Figure 8 shows the fiducial marks that are placed on the patterned mask to assist in the dicing process. The dicing process can obtain an edge placement tolerance of +/- 100 µm, referenced to the template active area. 4. Printing with S-FIL Templates at MII It has been previously shown that S-FIL can print structures as small as 20 nm and can replicate e-beam patterning errors that are smaller than 5 nm. The MII/Photronics collaboration was aimed at demonstrating that in addition to replicating sub-100 nm features, the S-FIL process can also replicate various kinds of larger feature that can be imaged using conventional photolithography. This is critical to demonstrate that the S-FIL process is a practically useful lithography process. To this end, a diagnostic test template that had features representative of various types and sizes with a minimum feature size of about 300 nm was created using the ALTA3500 laser pattern generator. This section presents the imprint image results obtained with these first templates. The imprint field size was chosen to be 25 mm X 25 mm. Shown below are examples of a full wafer patterned in step and repeat mode with the diagnostic template (Figure 9) and an individually imprinted field (Figure 10). The images demonstrate good fluid control and macroscopic print quality. A visible street gap can be seen between the fields in Figure 10, this pattern was stepped as such to allow easy inspection and characterization. Figure 11 demonstrates sharp and square field edge definition. This is a critical factor for imprint lithography since the field edge is defined by the ability to control the flow of the UV curable fluid. SEM and microscope images were taken of the printed features. This demonstrates the fidelity with which Step and Flash imprint lithography could replicate structures conventionally printed with optical lithography. The various shapes and features patterned on the Diagnostic template included; L-shaped CD keys, dense, semi-dense and isolated lines, dense, semi-dense and isolated contacts/vias & posts, alignment verniers, proximity dagger patterns, siemens Star pattern, moiré patterns, positive and negative dog-bone shaped pattern, serpentine patterns, horizontal and vertical lines, triangle patterns with angles varying from 15 to 90 degrees, crescent patterns, donuts and circles. The images shown are representative of the results that were obtained (Figures 12, 13 and 14). 5. Conclusions and Future Work This article presents the results of a collaborative effort between MII and Photronics, Inc. to develop a baseline process for fabricating S-FIL templates that are compatible with lithography tools being developed by MII. Standard phase mask processes have been adapted to fabricate S-FIL templates. Limits of pattern generation using both laser pattern generators and electron beam systems have been presented. It has been demonstrated that the templates can be used to print in a step and repeat manner on a 200 mm wafer to yield lithographically useful for full wafer patterning. The ability to print a wide variety of features - both in the sense of shapes and sizes has been demonstrated. This demonstrates that S-FIL can replicate sub-100 nm pattern, while retaining all the patterning benefits of conventional lithography at lower resolutions. A detailed discussion of the process capabilities of the S- FIL tool is presented in a separate article [4].

Orient substrate and treated template Dispense UV curable low viscosity organosilicon Photomonomer Quartz Release Planarization Substra Monom Close gap and illuminate with UV (Room Temperature, Low Pressure) Separate the template from the substrate Halogen break-thru and oxygen etch UV blanket HIGH resolution, aspect-ratio Residual HIGH resolution, aspect-ratio Figure 1: The Step and Flash Imprint Lithography (S-FIL) Process 6025 Fused Silica Substrate 65 mm x 65 mm Template Active Imprint Area: 25 mm x 25 mm Figure 2: Four templates on a standard 6025 photomask substrate (top-down view) Cross-sectional view of template Active area on 15um pedestal Figure 3: Template obtained by dicing the 6025 plate

S-FIL Template Process Binary Mask, 1st Write Resist - Chrome- Quartz - Expose Develop Etch Template Self-Aligned transfer Blank - STD Binary Material -Expose E-Beam or ALTA 3500 DRY CR Etch -Quartz Dry Etch 200 nm +/- 25 nm 2nd Level Write Re-Coat resist - -I-Line Resist -Expose/Develop Depth CD Spec -Chrome Etch to Remove Field Chrome Pedestal and Moat Etch -ALTA 3500 expose non-critical +/- 0.5 um - Wet Chrome etch -Wet or Dry Qtz Etch 15 um deep, +/- 0.1 um Final Chromeless S-FIL Template -Strip Resist and Chrome -Dice to final Template dimensions Figure 4: Step & Flash Template Process 60nm 70nm 90nm 100nm Figure 5: SEM images of 1X Production E-Beam Process

130nm: Resolution acceptable 100nm: Resolution limit reached Figure 6: SEM of Contact holes No Microtrenching Trench Profile = 87 Etch Depth = 1710A Figure 7: SEM Cross-section of quartz etch

Figure 8: Dicing Scribe Fiducials for Saw Blade alignment Figure 9: Step & Repeat Imprinted 200 mm Wafer with 32 Fields, Each of Size 25 mm X 25 mm Field Street Area Between Fields Street Area Between Fields Figure 10: Single Imprint Field of Size 25 mm X 25 mm

Figure 11: Field Edge Control Shown at a Magnification of 1000X Figure 12: SEM Showing Isolated 300nm and Dense 400nm Lines Figure 13: SEM Showing Positive and Negative Tone Dog-bone features Figure 14: Micron Scale Triangles of Varying Shapes and Sizes

Table 1: Toshiba EBM 3500B CD Data 1X Mask CD Measurements CD size 450 230 130 Iso 100 90 80 Mean 449.16 227.98 127.44 100.14 85.06 76.73 MTT -0.84-2.02-2.56 0.14-4.94-3.27 Max 452.6 231.5 130.2 102.7 89 83.7 Min 446.9 452.4 122.9 96.8 81.2 72 Range 5.7 11.7 7.3 5.9 7.8 11.7 3 Sigma 5.59 10.86 5.91 5.67 6.74 11.65 Dense Mean 452.35 233.72 140.98 120.49 82.91 MTT 2.35 3.72 10.98 20.49-7.09 Max 458.1 238.0 145.1 139.9 91.1 Min 449.2 231.4 137.8 111.4 69.7 Range 8.9 6.6 7.3 28.5 21.4 3 Sigma 7.52 5.82 6.80 24.59 20.97 References 1. S.Y. Chou, P.R. Krauss, P.J. Renstrom, Nanoimprint lithography, J. Vac. Sci., Tech. B, 1996. 14(6): p. 4129. 2. Mathew Colburn, Todd Bailey, Byung Jin Choi, John G. Ekerdt, S.V. Sreenivasan, C. Grant Willson, Step and Flash Imprint Lithography, Solid State Technology, July 2001. 3. Resnick, D.J. et al., High Resolution Templates for Step and Flash Imprint Lithography, SPIE MicroLithography Conference, February 2002. 4. Ian McMackin, Philip Schumaker, Daniel Babbs, Jin Choi, Wenli Collison, S.V. Sreenivasan, Norman Schumaker, Michael Watts, Ronald Voisin, Design and Performance of a Step and Repeat Imprinting Machine, SPIE Microlithography Conference, February 2003.