Asynchronous Design for Analogue Electronics. Alex Yakovlev

Similar documents
Modeling and Performance Analysis of GALS Architectures

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Co-simulation Techniques for Mixed Signal Circuits

DEDICATED TO EMBEDDED SOLUTIONS

Scan. This is a sample of the first 15 pages of the Scan chapter.

Low Power Digital Design using Asynchronous Logic

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Sharif University of Technology. SoC: Introduction

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Designing for the Internet of Things with Cadence PSpice A/D Technology

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha.

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC)

Sequential Circuit Design: Principle

Equivalence Checking using Assertion based Technique

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Figure.1 Clock signal II. SYSTEM ANALYSIS

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Testing Digital Systems II

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design for Testability

Switched Mode Power Supply

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Metastability Analysis of Synchronizer

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Review of digital electronics. Storage units Sequential circuits Counters Shifters

2.6 Reset Design Strategy

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Asynchronous Clocks. 1 Introduction. 2 Clocking basics. Simon Moore University of Cambridge

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LFSR Counter Implementation in CMOS VLSI

Chapter 2 Clocks and Resets

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Chapter 8 Design for Testability

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Measurements of metastability in MUTEX on an FPGA

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Signal Persistence Checking of Asynchronous System Implementation using SPIN

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Level and edge-sensitive behaviour

Sequential Circuit Design: Part 1

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.


ELEN Electronique numérique

Solutions to Embedded System Design Challenges Part II

Design and Measurement of Synchronizers

Sequential Circuit Design: Part 1

Simulation Mismatches Can Foul Up Test-Pattern Verification

Behavioral Modeling of a Charge Pump Voltage Converter for SoC Functional Verification Purposes

EITF35: Introduction to Structured VLSI Design

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

4. Formal Equivalence Checking

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

WINTER 15 EXAMINATION Model Answer


Logic Design. Flip Flops, Registers and Counters

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

Chapter 3 Unit Combinational

Design and Evaluation of a Low-Power UART-Protocol Deserializer

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

IT T35 Digital system desigm y - ii /s - iii

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Power Optimization by Using Multi-Bit Flip-Flops

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

An automatic synchronous to asynchronous circuit convertor

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Retiming Sequential Circuits for Low Power

ELE2120 Digital Circuits and Systems. Tutorial Note 8

K.T. Tim Cheng 07_dft, v Testability

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks

An Asynchronous Fully Digital DLL for DDR SDRAM Data Recovery

IN DIGITAL transmission systems, there are always scramblers

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

Combinational vs Sequential

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Transcription:

Asynchronous Design for Analogue Electronics Alex Yakovlev

Motivation: A4A scope conventional RTL synthesis IP core (big digital) IP core (big digital) ADC sensor sensor DAC analogue components power converter level shifters/ synchronisers power converter ad hoc manual design control for analogue layer (little digital) requires formalisation and design automation digital analogue time/energy infrastructure A4A scope Analogue and digital electronics are becoming more intertwined Analogue domain becomes more complex and itself needs digital control 2 / 31

Motivation: Power electronics context Efficient implementation of power converters is paramount Extending the battery life of mobile gadgets Reducing the energy bill for PCs and data centres (5% and 3% of global electricity production respectively) Need for responsive and reliable control circuitry - little digital Millions of control decisions per second for years An incorrect decision may permanently damage the circuit Poor EDA support Synthesis is optimised for data processing - big digital Ad hoc solutions are prone to errors and cannot be verified 3 / 31

R_load Basic buck converter: Schematic control gp_ack gp oc uv over-current (oc) Th_pmos PMOS I_max buck zc gn_ack gn NMOS Th_nmos zero-crossing (zc) V_0 under-voltage (uv) V_ref In the textbook buck a diode is used instead of NMOS transistor 4 / 31

Basic buck converter: Informal specification current PMIN NMIN PMIN NMIN PMIN I_max NMOS ON PMOS OFF PMOS ON NMOS OFF NMOS ON PMOS OFF PMOS ON NMOS OFF NMOS ON PMOS OFF PMOS OFF NMOS OFF PMOS ON NMOS OFF time UV OC UV ZC OC ZC UV OC no ZC late ZC early ZC no ZC under-voltage without zero-crossing late ZC under-voltage before zero-crossing early ZC under-voltage after zero-crossing 5 / 31

Multiphase buck converter: Schematic over-current (oc) I_max oc1 gp_ack1 Th_pmos buck gp1 PMOS[1] NMOS[1] gn1 zc1 gn_ack1 Th_nmos control gp_ackn ocn gpn hl Th_pmos PMOS[N] V_0 I_max uv gnn NMOS[N] R_load zcn gn_ackn Th_nmos zero-crossing (zc) V_0 under-voltage (uv) V_ref high-load (hl) V_min 6 / 31

Multiphase buck converter: Informal specification Normal mode Phases are activated sequentially Phases may overlap High-load mode All phases are activated simultaneously Benefits Faster reaction to the power demand Heat dissipation from a larger area Decreased ripple of the output voltage Smaller transistors and coils 7 / 31

Synchronous design Two clocks: phase activation (~5MHz) and sampling (~100MHz) Easy to design (RTL synthesis flow) Response time is of the order of clock period Power consumed even when idle Non-negligible probability of a synchronisation failure Manual ad hoc design to alleviate the disadvantages Verification by exhaustive simulation 8 / 31

Asynchronous design Event-driven control decisions Prompt response (a delay of few gates) No dynamic power consumption when the buck is inactive Other well known advantages Insufficient methodology and tool support Our goals Formal specification of power control behaviour Reuse of existing synthesis methods Formal verification of the obtained circuits Demonstrate new advantages for power regulation (power efficiency, smaller coils, ripple and transient response) 9 / 31

High-level architecture: Token ring No need for phase activation clock 10 / 31

High-level architecture: Charging stage 11 / 31

A2A components Interface analogue world of dirty signals Provide hazard-free sanitised digital signals for asynchronous control Library of A2A components WAIT / WAIT0 wait for stable 1 / 0 on analogue input and latch it until explicit release signal RWAIT / RWAIT0 WAIT element with a possibility to persistently cancel the waiting request WAIT01 / WAIT10 wait for a rising / falling edge WAITX / WAITX2 wait for one of analogue signals in a mutually exclusive way using 4-phase / 2-phase control signalling SAMPLE sample the state of analogue signal 12 / 31

A2A components: WAIT element STG specification Read-consume conflict between output and input. ME-based solution Gate-level implementation can be removed 13 / 31

Synthesis flow Manual decomposition of the system into modules To create formal specification from informal requirements (feedback loop with engineers) To simplify specification and synthesis Some modules are reusable Some modules (A2A components, Opportunistic Merge) are potential standard components Each component is specified using STGs Automatic synthesis into speed-independent circuits (arbitrary gate delays and some forks must be isochronic) 14 / 31

Formal verification STG verification All standard speed-independence properties (consistency, output-persistency, complete state coding) PMOS and NMOS are never ON simultaneously (to prevent from short circuit) Some timers are used in a mutually exclusive way and can be shared Circuit verification Conforms to the environment Deadlock-free and hazard-free under the given environment 15 / 31

Tool support: WORKCRAFT Framework for interpreted graph models (STGs, circuits, FSMs, dataflow structures, etc.) Interoperability between models Elaborated GUI Includes many backend tools PETRIFY STG and circuit synthesis, BDD-based PUNF STG unfolder MPSAT unfolding-based verification and synthesis PCOMP parallel composition of STGs 16 / 31

Tool support: WORKCRAFT 17 / 31

Simulation results Verilog-A model of the 3-phase buck Control implemented in TSMC 90nm AMS simulation in CADENCE NC-VERILOG Synchronous design Phase activation clock 5 MHz Clocked FSM-based control 100 MHz Sampling and synchronisation Asynchronous design Phase activation - token ring with 200 ns timer (= 5 MHz) Event-driven control (input-output mode) Waiting rather than sampling (A2A components) 18 / 31

Simulation results 19 / 31 asynchronous synchronous

AMS Trends & Challenges Key drivers Internet of Things Mobile computing Automotive electronics Trends Technology scaling Multiple power and time domains Analog and digital integration Challenges Tighter reliability margins Concurrent analog and digital analysis Short development cycle Based on slide from DAC-2014 by ANSYS 20 / 31

What this means for AMS? Achieving better verification of analog and digital blocks Verifying the increasing amount of digital logic in analog designs Creating a higher level of abstraction for analog and mixed signal blocks Automating the manual custom design steps Adopting circuit analytics that tell why and where the circuit is failing to perform Based on slide from ISQED-2013 by Mentor Graphics 21 / 31

Advanced AMS design flow 22 / 31

AMS flow: Tool support WORKCRAFT synthesis and verification of async. circuits LEMA modelling and verification of AMS circuits Model generation from simulation traces Property expression and checking 23 / 31

R_load AMS flow: Basic buck control gp_ack gp oc over-current (oc) Th_pmos PMOS I_max buck uv gn_ack gn NMOS Th_nmos under-voltage (uv) V_ref 24 / 31

AMS flow: Model generation example 25 / 31 0 1 gp 0 1 gp_ack 0 5 10 1180 1185 1190 1195 1200 1205 1210 1215 1220 1225 1230 1235 PMOS voltage V ns gp_ack = g = g g = g g = Initial conditions: e e e e e e

AMS flow: Optimised specification Concurrency reduction Scenario elimination 26 / 31

ADC: Sampling schemes Synchronous ADC T s Asynchronous AADC A. Ogweno, P. Degenaar, V. Khomenko and A. Yakovlev: A fixed window level crossing ADC with activity dependent power dissipation, accepted for NEWCAS-2016. 27 / 31

ADC: Asynchronous design V in V ramp V change refp refm refn rise fall V pulse t 1 t 2 t 3 t 4 t 5 t 6 28 / 31

ADC: Specification and implementation STG specification Speed-independent implementation reset outp- req+ outp+ req- ack req ack- outn p1 p2 ack+ p3 outp V pulse outn- req+ ack- req- ack outn+ req ramp_en 29 / 31

Conclusions Fully asynchronous design of multiphase buck controller Quick response time: few gate delays, all mutexes are outside the critical path Reliable: no synchronisation failures Design flow is automated to large extent Automatic logic synthesis Formal verification at the STG and circuit levels Library of A2A components Vision for an advanced AMS design flow 30 / 31

Acknowledgements A4A team Newcastle University: Vladimir Dubikhin, Victor Khomenko, Andrey Mokhov, Danil Sokolov, Prof. Alex Yakovlev Dialog Semiconductor: David Lloyd University of Utah: Prof. Chris Myers EPSRC for funding A4A project (EP/L025507/1) Design automation WORKCRAFT http://workcraft.org/ LEMA http://www.async.ece.utah.edu/lema Recent publications D. Lloyd, R. Illman: Scan insertion and ATPG for C-gate based asynchronous designs, SNUG- 2014. D. Sokolov, et. al: Design and verification of speed-independent buck controller, ASYNC-2015. V. Dubikhin, et. al: Design of mixed-signal systems with asynchronous control, IEEE Design & Test (to appear). 31 / 31