Solar Power for Small Hall

Similar documents
YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

DIGITAL CIRCUIT COMBINATORIAL LOGIC

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Timing Pulses. Important element of laboratory electronics. Pulses can control logical sequences with precise timing.

RS flip-flop using NOR gate

Lecture 8: Sequential Logic

Experiment 8 Introduction to Latches and Flip-Flops and registers

EE292: Fundamentals of ECE

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

Sequential Logic and Clocked Circuits

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

Logic Design. Flip Flops, Registers and Counters

Chapter 6 Digital Circuit 6-5 Department of Mechanical Engineering

Unit 11. Latches and Flip-Flops

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

LAB #4 SEQUENTIAL LOGIC CIRCUIT

Introduction to Sequential Circuits

LATCHES & FLIP-FLOP. Chapter 7

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Final Exam review: chapter 4 and 5. Supplement 3 and 4

RS flip-flop using NOR gate

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

Physics 323. Experiment # 10 - Digital Circuits

CPS311 Lecture: Sequential Circuits

Chapter. Synchronous Sequential Circuits

Chapter 5 Synchronous Sequential Logic

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Topics of Discussion

DIGITAL ELECTRONICS MCQs

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

Chapter 8 Sequential Circuits

INTRODUCTION TO SEQUENTIAL CIRCUITS

CHAPTER 1 LATCHES & FLIP-FLOPS

Flip-Flops and Sequential Circuit Design

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Rangkaian Sekuensial. Flip-flop

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted.

Sequential Design Basics

CHAPTER 11 LATCHES AND FLIP-FLOPS

Chapter 2. Digital Circuits

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

Switching Circuits & Logic Design

AIM: To study and verify the truth table of logic gates

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

LAB 7. Latches & Flip Flops

Other Flip-Flops. Lecture 27 1

D Latch (Transparent Latch)

ELCT201: DIGITAL LOGIC DESIGN

ENGN3213 Digital Systems and Microprocessors Sequential Circuits

Logic. Andrew Mark Allen March 4, 2012

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

Asynchronous (Ripple) Counters

Clocks. Sequential Logic. A clock is a free-running signal with a cycle time.

Sequential Logic Circuits

Last time, we saw how latches can be used as memory in a circuit

Digital Fundamentals: A Systems Approach

Chapter 11 Latches and Flip-Flops

SEMESTER ONE EXAMINATIONS 2002

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

Chapter 5 Flip-Flops and Related Devices

Synchronous Sequential Logic. Chapter 5

Review of Flip-Flop. Divya Aggarwal. Student, Department of Physics and Astro-Physics, University of Delhi, New Delhi. their state.

L14: Quiz Information and Final Project Kickoff. L14: Spring 2004 Introductory Digital Systems Laboratory

Digital Fundamentals: A Systems Approach

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

EET2411 DIGITAL ELECTRONICS

Chapter 5 Sequential Circuits

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Lecture 7: Sequential Networks

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

REPEAT EXAMINATIONS 2002

FE REVIEW LOGIC. The AND gate. The OR gate A B AB A B A B 0 1 1

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

Unit-5 Sequential Circuits - 1

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states.

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Chapter 5: Synchronous Sequential Logic

Chapter 4: One-Shots, Counters, and Clocks

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

CHAPTER 6 COUNTERS & REGISTERS

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012

Feedback Sequential Circuits

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

Combinational / Sequential Logic

EKT 121/4 ELEKTRONIK DIGIT 1

Synchronous Sequential Logic

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Transcription:

Solar Power for Small Hall [image from www.speedace.info] The university is interested in installing a Solar Power Generating Facility on the roof of Small Hall. Project not official at university level yet, but SPS + Dept. are kickstarting project: Meeting Tuesday this week at 6:30pm in Small Hall conference room. Determine which solar technology to use. How much electrical power can we expect to get. Final budget for project is not finalized. Paid for from Green Fees (i.e. your money). Installation will occur during or immediately after renovation of Small Hall.

VMEC Summer Internship Program Virginia Micro-Electronics Consortium summer program: May-August, 2010 12-13 weeks of paid research work. Juniors and Seniors Participating universities: W&M, UVA, VirginiaTech, VCU, ODU, GMU, Virginia. Military. Inst. Participating companies: Micron Technology, BAE Systems. DEADLINE: October 31, 2009. Website: www.vmec-scholars.org

Outline: 1. Timing noise Flip-Flops Flops Signal races, glitches FPGA example ( assign bad) 2. Synchronous circuits and memory Logic gate example 3. Flip-Flop Flop memory RS-latch example 4. D and JK flip-flops flops Flip-flops in FPGAs 5. Synchronous circuit design with FPGAs FPGA example ( always good). Parallel circuit design with FPGAs.

Timing noise Amplitude Noise A digital circuit is very immune to amplitude noise, since it can only have two values (Low or High, True or False, 0 or 1). Digital electronics circuits typically have error rates smaller than 1 part in 10 9 (no error correction). Timing Noise Just like an analog circuit, a digital circuit can experience timing noise. Fortunately, good clocks are cheap and easily available, and a good design will eliminate the effects of timing noise. Timing issues/errors can easily produce amplitude noise (bit errors).

Signal Race The timing delays produced by wires and logic gates can produce unwanted (illogical) outputs. Example: 3-input NAND gate A AB B C Y A B C Time ideal Y

Signal Race The timing delays produced by wires and logic gates can produce unwanted (illogical) outputs. Example: 3-input NAND gate A AB B C Y A B C AB resulting Y 2x gate delay Time If gate delays are too long output pulse could disappear

Signal Race The timing delays produced by wires and logic gates can produce unwanted (illogical) outputs. Example: 3-input NAND gate A AB B C Y A B C AB actual Y 2x gate delay Time Pulse is shorter than expected and delayed

A B B A Signal Race with Glitch AB AB [diagram courtesy of Altera Inc.] Y XOR A B Y L L L L H H H L H H H L A B A B Inverter delay Inverter delay + component differences Time resulting resulting resulting AB AB Y [Figure adapted from Principles of Electronics: Analog & Digital by L. R. Fortney]

A B B A Signal Race with Glitch AB AB [diagram courtesy of Altera Inc.] Y XOR A B Y L L L L H H H L H H H L A B A B Time real real real AB AB Y [Figure adapted from Principles of Electronics: Analog & Digital by L. R. Fortney]

Glitches with FPGAs Quartus II will simulate glitches glitches

Asynchronous Design Asynchronous design requires very careful attention to signal delays to avoid producing glitches and other spurious signals. Glitches will produce false data and can produce very wrong results e.g. a glitch on the most-significant-bit will produce a factor of 2 error. Asynchronous design design can can produce very very fast fast digital digital circuits, but but is is generally avoided due due to to more more difficult difficult design. design.

Synchronous Design The use of memory and a clock can eliminate signal races and glitches. A B AB flip flop clock C in flip flop out Y clock Basic flip-flop flop operation The flip-flop will record and output the value at the input if the clock is HIGH. If the clock goes LOW, then the flip-flop does not change its value or output. Glitches are eliminated if 1. The clock HIGH and LOW times are longer than any gate delays. 2. The inputs are synchronized to the clock.

A B C Synchronous Timing AB flip flop flip flop clock Y clock clock A B C Flip-flop AB Flip-flop C resulting Y 2x gate delay Time Guaranteed minimum signal pulse

D-type Edge-Triggered Flip-Flop Flop Generally, the flip-flop changes state on a clock signal edge, not the level. The flip-flop takes the value just before the clock edge. clock D t s t h S or PRE Q input D Q output For 74LS74: minimum t s = 20 ns minimum t h = 5 ns clock Q R or CLR [Texas Instruments 74LS74 flip-flop datasheet] Note: A flip-flop saves information (i.e. 1 bit); it does not modify it.

D-type Edge-Triggered Flip-Flop Flop Generally, the flip-flop changes state on a clock signal edge, not the level. The flip-flop takes the value just before the clock edge. clock D t s t h rising-edge trigger S or PRE Q input D Q output For 74LS74: minimum t s = 20 ns minimum t h = 5 ns clock Q R or CLR [Texas Instruments 74LS74 flip-flop datasheet] Note: A flip-flop saves information (i.e. 1 bit); it does not modify it.

A B C clock Synchronous Timing (revisited) AB flip flop flip flop clock clock Y A B C Flip-flop AB Time Flip-flop C resulting Y

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 0 & S = 0: S = 0 & assume Q = 0 Q = 1. S = 0 & assume Q = 1 Q = 1. R = 0 & assume Q = 0 Q = 1. R = 0 & assume Q = 1 Q = 1.

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 0 & S = 0: S = 0 & assume Q = 0 Q = 1. S = 0 & assume Q = 1 Q = 1. R = 0 & assume Q = 0 Q = 1. R = 0 & assume Q = 1 Q = 1. consistent R=0 & S=0 Q=1 & Q=1

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 0 & S = 1: S = 1 & assume Q = 0 Q = 1. S = 1 & assume Q = 1 Q = 0. R = 0 & assume Q = 0 Q = 1. R = 0 & assume Q = 1 Q = 1.

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 0 & S = 1: S = 1 & assume Q = 0 Q = 1. S = 1 & assume Q = 1 Q = 0. R = 0 & assume Q = 0 Q = 1. R = 0 & assume Q = 1 Q = 1. consistent R=0 & S=1 Q=0 & Q=1

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 1 & S = 0: The opposite of R = 0 & S = 1 by symmetry.

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 1 & S = 1: S = 1 & assume Q = 0 Q = 1. S = 1 & assume Q = 1 Q = 0. R = 1 & assume Q = 0 Q = 1. R = 1 & assume Q = 1 Q = 0.

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 1 & S = 1: S = 1 & assume Q = 0 Q = 1. S = 1 & assume Q = 1 Q = 0. R = 1 & assume Q = 0 Q = 1. R = 1 & assume Q = 1 Q = 0. consistent R=1 & S=1 Q=1 & Q=0 consistent R=1 & S=1 Q=0 & Q=1

How does a flip-flop flop work? Basic flip-flop: the SR latch Logic table Q 0 = value before S&R changes R = 1 & S = 1: S = 1 & assume Q = 0 Q = 1. S = 1 & assume Q = 1 Q = 0. R = 1 & assume Q = 0 Q = 1. R = 1 & assume Q = 1 Q = 0. consistent R=1 & S=1 Q=1 & Q=0 consistent R=1 & S=1 Q=0 & Q=1 Two settings are possible i.e. flip-flop flop keeps its state.

SR Latch Switch Debouncer SR latch flip-flops are not used much for memory, but they are used for debouncing switches. Switch Bounce: When a switch is toggled it will not go smoothly from HIGH to LOW, or vice versa. Volts bouncing switch Volts debounced switch time time R +5V R

Clocked D-type D Latch S Logic table R Clock Circuit Analysis: C = 1 & D = 1 S = 0 & R = 1. C = 1 & D = 0 S = 1 & R = 0. C = 0 & D = 1 S = 1 & R = 1. C = 0 & D = 0 S = 1 & R = 1.

Clocked D-type D Latch S Logic table R Clock Circuit Analysis: C = 1 & D = 1 S = 0 & R = 1. C = 1 & D = 0 S = 1 & R = 0. C = 0 & D = 1 S = 1 & R = 1. C = 0 & D = 0 S = 1 & R = 1. Clock HIGH: D sets the flip-flop state Clock LOW: flip-flop state is locked

Clocked D-type D Latch input D Q output Logic table clock Q Clock Circuit Analysis: C = 1 & D = 1 S = 0 & R = 1. C = 1 & D = 0 S = 1 & R = 0. C = 0 & D = 1 S = 1 & R = 1. C = 0 & D = 0 S = 1 & R = 1. Clock HIGH: D sets the flip-flop state Clock LOW: flip-flop state is locked

Master-Slave D-type D Flip-Flop Flop Note: The flip-flop triggers on a the falling edge of the clock.

74LS74 D-type D edge-triggered flip-flop flop [Texas Instruments 74LS74 flip-flop datasheet] Both PRE and CLR behave like S and R inputs, respectively, on the SR latch. IMPORTANT: Both PRE and CLR must be high for normal D-type operation. Note: The flip-flop triggers on the rising edge of the clock.

74LS74 D-type D edge-triggered flip-flop flop input D PRE Q output [Texas Instruments 74LS74 flip-flop datasheet] clock CLR Q Both PRE and CLR behave like S and R inputs, respectively, on the SR latch. IMPORTANT: Both PRE and CLR must be high for normal D-type operation. Note: The flip-flop triggers on the rising edge of the clock.

JK-type flip-flop flop Logic table for clock falling edge input J Q output J K Q n+1 clock input K C Q 0 0 Q n 1 0 0 0 1 1 1 1 Q n JK-type flip-flops are used in counters.

Flip-flops in FPGAs Architecture of a single Logic Element inputs clock signals LUT CLOCK triggers Memory (a few bits) global local outputs feedback Frequently a D-type D Flip-Flop Flop FPGAs are already set-up for synchronous circuit designs

Flip-flops in FPGAs Architecture of a single Logic Element inputs clock signals LUT CLOCK triggers Memory (a few bits) global local outputs feedback Frequently a D-type D Flip-Flop Flop FPGAs are already set-up for synchronous circuit designs

Synchronous programming in Verilog (I)

Synchronous programming in Verilog (I) Clock variable output register (i.e. flip-flop flop memory )

Synchronous programming in Verilog (I) Clock variable output register (i.e. flip-flop flop memory ) Read as as always at at the positive clock edge do do the following always is is the the core core command for for synchronous programming, it it should should be be used used as as frequently as as possible. assign should should be be used used as as little little as as possible. It It is is only only useful useful for for DCtype type signals (signals that that don t don t t change). DC-

Synchronous programming in Verilog (II) Quartus II circuit simulation

Synchronous programming in Verilog (II) Quartus II circuit simulation Clock Line No more glitches

How did the FPGA implement the circuit? Tools > Netlists > Technology Map Viewer

How did the FPGA implement the circuit? Tools > Netlists > Technology Map Viewer D-type edge-triggered flip-flops flops

Always use always _ A. Stummer, U. of Toronto.

Parallel programming in Verilog The always structure is used for exploiting the parallel processing features of the FPGA. Parallel processing must almost always be synchronous if several processes exchange data. Parallel and Sequential processing examples: Sequential always@ (negedge clock) begin a = b; c = a; end Parallel always@ (negedge clock) begin a <= b; c <= a; end

Parallel programming in Verilog The always structure is used for exploiting the parallel processing features of the FPGA. Parallel processing must almost always be synchronous if several processes exchange data. Parallel and Sequential processing examples: Sequential always@ (negedge clock) begin a = b; c = a; end Parallel always@ (negedge clock) begin a <= b; c <= a; end executed simultaneously c = b a = b c = a (previous value)