PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Similar documents
Auto classification and simulation of mask defects using SEM and CAD images

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Readiness and Challenges of EUV Mask

Wafer defects can t hide from

EUV Blank Inspection

Nano-Imprint Lithography Infrastructure: Imprint Templates

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Multi-Shaped E-Beam Technology for Mask Writing

Characterization and improvement of unpatterned wafer defect review on SEMs

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Approaching Zero Etch Bias at Cr Etch Process

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved?

PROGRESS OF UV-NIL TEMPLATE MAKING

PCB Error Detection Using Image Processing

Smart Traffic Control System Using Image Processing

The Extron MGP 464 is a powerful, highly effective tool for advanced A/V communications and presentations. It has the

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Colour Matching Technology

Achieve Accurate Critical Display Performance With Professional and Consumer Level Displays

FPA (Focal Plane Array) Characterization set up (CamIRa) Standard Operating Procedure

Understanding PQR, DMOS, and PSNR Measurements

FEASIBILITY STUDY OF USING EFLAWS ON QUALIFICATION OF NUCLEAR SPENT FUEL DISPOSAL CANISTER INSPECTION

Failure Analysis Technology for Advanced Devices

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Signal to noise the key to increased marine seismic bandwidth

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Investigation of Digital Signal Processing of High-speed DACs Signals for Settling Time Testing

Defect detection and classification of printed circuit board using MATLAB

Lossless Compression Algorithms for Direct- Write Lithography Systems

Self-Aligned Double Patterning for 3xnm Flash Production

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Draft 100G SR4 TxVEC - TDP Update. John Petrilla: Avago Technologies February 2014

Introduction and recent results of Multi-beam mask writer MBM-1000

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

from ocean to cloud ADAPTING THE C&A PROCESS FOR COHERENT TECHNOLOGY

Automatic Defect Recognition in Industrial Applications

DLP Discovery Reliability Application Note

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

2. Problem formulation

Standard Operating Procedure of nanoir2-s

Recent results of Multi-beam mask writer MBM-1000

DISPLAY WEEK 2015 REVIEW AND METROLOGY ISSUE

Reducing tilt errors in moiré linear encoders using phase-modulated grating

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Transducers and Sensors

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts

Reducing Waste in a Converting Operation Timothy W. Rye P /F

Mini-dictionary. Verbs to Describe Research

Image Acquisition Technology

Scan. This is a sample of the first 15 pages of the Scan chapter.

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure

White Paper. Missing Pixels in Medical Grayscale Flat Panel Displays. Geert Carrein Director Product Management. W hat s inside?

Layout Decompression Chip for Maskless Lithography

Sharif University of Technology. SoC: Introduction

Lecture 18 Design For Test (DFT)

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

THE EFFECT OF PERFORMANCE STAGES ON SUBWOOFER POLAR AND FREQUENCY RESPONSES

Hardware Verification after Installation. D0 Run IIB L1Cal Technical Readiness Review. Presented by Dan Edmunds August 2005

ABSTRACT 1 INTRODUCTION

inter.noise 2000 The 29th International Congress and Exhibition on Noise Control Engineering August 2000, Nice, FRANCE

High performance optical blending solutions

Distortion Analysis Of Tamil Language Characters Recognition

The Transition to Patterned Media in Hard Disk Drives

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Processing. Electrical Engineering, Department. IIT Kanpur. NPTEL Online - IIT Kanpur

Monitor QA Management i model

HOT LINKS Trade Show Schedule ISO Certification Contact

Setting the quality standards. Glass Inspection. Defect detection from the technology leader

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

The PHI VersaProbe operates with two essential software programs: PHI Summitt and Vacuum Watcher. A third program, MultiPak, handles data reduction.

Impact of DMD-SLMs errors on reconstructed Fourier holograms quality

Avoiding False Pass or False Fail

High Density Optical Connector with Unibody Lensed Resin Ferrule

DAT335 Music Perception and Cognition Cogswell Polytechnical College Spring Week 6 Class Notes

Using enhancement data to deinterlace 1080i HDTV

Task-based Activity Cover Sheet

LCD and Plasma display technologies are promising solutions for large-format

Sealed Linear Encoders with Single-Field Scanning

VISUAL CONTENT BASED SEGMENTATION OF TALK & GAME SHOWS. O. Javed, S. Khan, Z. Rasheed, M.Shah. {ojaved, khan, zrasheed,

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Image Contrast Enhancement (ICE) The Defining Feature. Author: J Schell, Product Manager DRS Technologies, Network and Imaging Systems Group

VeriLUM 5.2. Video Display Calibration And Conformance Tracking. IMAGE Smiths, Inc. P.O. Box 30928, Bethesda, MD USA

Laser Visible Light Communications

Tech Paper. HMI Display Readability During Sinusoidal Vibration

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

RELIABILITY REPORT FOR. MAX6070xxAUTxx+T PLASTIC ENCAPSULATED DEVICES. December 19, 2012 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications

PCIe: EYE DIAGRAM ANALYSIS IN HYPERLYNX

Fieldbus Testing with Online Physical Layer Diagnostics

OPERATOR MANUAL OSD8865 DIGITAL TRIPLE VIDEO FIBER OPTIC RECEIVER

Renishaw Ballbar Test - Plot Interpretation - Mills

28 North Lotts, Dublin 1, Ireland Tel: info [AT] phonevolts.com

OBJECTIVE EVALUATION OF A MELODY EXTRACTOR FOR NORTH INDIAN CLASSICAL VOCAL PERFORMANCES

BitWise (V2.1 and later) includes features for determining AP240 settings and measuring the Single Ion Area.

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Transcription:

PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki Satake, et al. Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

Classification and Printability of EUV Mask Defects from SEM images Wonil Cho a, Daniel Price a, Paul A. Morgan a, Daniel Rost a, Masaki Satake b, Vikram L. Tolani b a Mask Technology Center, Micron Technology, Inc., 8000 S. Federal Way, Boise, ID USA 8376-0006; b KLA-Tencor Corp., One Technology Drive, Milpitas, CA USA 95035 ABSTRACT EUV lithography is starting to show more promise for patterning some of the critical layers at the 5nm technology node and beyond. However, there still are many technical challenges to overcome before it can be implemented into high volume manufacturing (HVM) and one of them is the production of defect-free EUV masks. Mask shops today typically use their cutting-edge 93nm inspection tools to detect defects on patterned EUV masks, since no EUV actinic pattern inspection or even e-beam mask inspection tools are available. The 93nm inspection tools have limited resolution on mask dimensions targeted for EUV patterning. The theoretical resolution limit for 93nm mask inspection tools is about 60nm HP on 4X masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 93nm inspection tools. Nevertheless, 93nm inspection tools with various illumination conditions to maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in 93nm inspection imaging of EUV masks, these inspections often need to be run hot resulting in hundreds or thousands of defects getting detected. Each one of these detections then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor 93nm resolution. In addition, the lack of a reliable aerial image dispositioning system makes it even more challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 93nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-opc design clips are provided to KLA-Tencor s Reticle Decision Center (RDC) platform which provides a comprehensive SEM ADC (Automatic Defect Classification) analysis of every defect. First, a defect-free or reference mask SEM image is rendered from the post-opc design, and the defective signature is determined from the difference image. The defective signatures help assess the true nature of the defect as seen under e- beam imaging; for example, excess or missing EUV absorber, line-edge roughness, contamination, etc. Next, the defect and reference contours are extracted from the grayscale SEM images and fed into the simulation engine with an EUV mask and scanner model to generate corresponding EUV defect and reference aerial images. These are then analyzed for printability and dispositioned using RDC s Aerial Image Analyzer application to automatically measure and estimate the impact of the mask defect to wafer CDs. By integrating the SEM ADC application into the EUV inspection and review flow this way, every defect is characterized for its type and printability. Such defect characterization is essential not only for determining which defects are nuisance or critical, but also for monitoring the performance of EUV mask process tools. With EUV lithography progressing towards volume manufacturing and progress being made in the area of e-beam based mask inspectors, the EUV SEM ADC software solution will continue serving an essential role of dispositioning defects off e-beam imaging. Keywords: EUV mask inspection, mask defects, ADC, Automatic Defect Classification, defect SEM review, mask SEM, reticle SEM, SEM ADC, Aerial Image Analyzer, AIA, Reticle Decision Center, RDC International Conference on Extreme Ultraviolet Lithography 207, edited by Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, Toshiro Itani, Proc. of SPIE Vol. 0450, 045006 207 SPIE CCC code: 0277-786X/7/$8 doi: 0.7/2.2280837 Proc. of SPIE Vol. 0450 045006- Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

. MOTIVATION The availability of defect-free EUV masks is crucial to inserting EUV lithography into HVM (High Volume Manufacturing). There have been many studies done on developing viable inspection solutions for EUV patterned masks. Currently 93nm optical inspection tools are still the workhorse for detecting pattern defects on EUV masks. However, pattern sizes on EUV masks are expected to be beyond the limit of resolution of 93nm inspection tools. Figure shows an examplee of such an EUV test mask pattern. At the native EUV wavelength of 3.5nm, these patterns print as expected. However, under the best available resolution on 93nm inspection tools today, one can hardly recognize the mask patterns. E Mask Wafer aerial imag Figure. Simulated imaging of a test mask at EUV 3.5nm versus 93nm wavelength On these tools, one can setup the inspection either to maximize the signal of defects, often resulting in the associated main features not resolving or vice versa, i.e., maximize main feature modulation but with somewhat lower defective signal strength. Figure 2 shows an example of such a compromise in imaging performance one needs to consider when inspecting EUV mask geometries on 93nm inspection tools. Figure 2. 93nm inspection imaged for main pattern contrast vs. defect signal Furthermore, main patterns barely resolvee in 93nm imaging at 88nm HP for example, and are completely unresolved at 64nm HP and below as shown in Figure 3. Figure 3. Resolution of mask patterns in 88nm HP vs. 64nm HP vs. 52nm HP line-spacee patterns on 93nm inspection tool Proc. of SPIE Vol. 0450 045006-2 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

Lastly, under 93nm imaging, main patterns and sometimes defects too show a reversal of tone or color which makes it very difficult to classify. Figure 4 shows how both contact holes (generally bright in optical inspection) and posts (generally dark in optical inspection) look similar under 93nm imaging. Figure 4. Tone inversion in hole patterns makes it look similar to posts For 93nm optical masks, computational review of mask defects has successfully been deployed into mask manufacturing [, 2]. For EUV masks inspection on 93nm inspection tools, there also is a need for an Automated Defect Classification system especially since the tools end up being setup quite hot resulting in hundreds, if not thousands, of defects being detected. However, due to the challenges of defect and main pattern resolution, and tone inversion, ADC performance may be limited to filtering some false and nuisance defects. Hence, there is need for a higher resolution defect review system such as a mask CD-SEM or review SEM tool to better image the remaining detections, and also for a corresponding ADC system to better characterize and disposition defects imaged by the SEM tools. 2. SEM ADC WORKFLOW From the 93nm optical inspection report, test SEM images are first captured on a mask review or CD-SEM tool. The defect-free or reference SEM images are rendered from the corresponding post-opc design database clips in a die-to- Classification and Printability. Step. The original images from the SEM tool are first de-noised and refined to improve the quality of the images. Then, database type approach. The SEM ADC workflow then involves threee main steps as shown in Figure 5: Defect isolation, the post-opc design database at the same location as the defect are clipped, and a SEM model applied to render a defect-free reference SEM image. The de-noised test and rendered reference SEM images are aligned and also subtracted to generate a grayscale difference image which is then used to isolate the defect site by local gray level integration. Step2. Binary contours are extracted from the de-noisedd SEM test image to generatee the Test Binary mask containing the defect, and also from the rendered SEM image to generate the Reference Binary mask. A binary difference image is then generated by subtracting the Reference Binary from the Test Binary mask. The gray and binary difference images thus generated are used to calculate defect metrics from the defect isolated in Step, for example, defect area, size, gray-level intensity, percentage of defect lying on the multilayer, etc. This information is saved in a DefectInfo table, and then some rules-based guidelines are applied to generate the final defect classification code. Step3. The EUV Defect Printability Simulator (DPS) mask model 0 is then applied to both the Test and Reference binary masks with the associated scanner exposure conditions to generate Test and Reference EUV Aerial images. The Aerial Image Analyzer (AIA) Error! Referencee source not found.[5] is then run to compute printability of all features within the Field of View (FOV). Proc. of SPIE Vol. 0450 045006-3 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

J v u v u uu u L C C C C C Denoise OOC00000C Refine Contour Extraction óóóó00ó0 G ay Image Generation SEM 0000.0000'. ask Generation EUV Sim GDS O0000000; ' Bin ry 0 0 0 0 0 Lookup 0 &render 0 (calib) 0 uuu f. CNT CDE %=- 32 /- 36 %(V!H) Defect Info Table Binary - Defect area = 228 - SizeX = 2 - SizeY = 32 Defect solatio 00r00 efect position OOOOüÜ AIA(Aerial Image Analysis) Printability Classification An absorber defect Gray - Defect area = 453 - SizeX = 6 - SizeY = 39 Gray image diff Guide Line Figure 5. SEM ADC workflow 2. SEM ADC Classifications Figure 6 shows the different classification bins provided through SEM ADC along with some examples (Fig 6). Absorber defects are categorized into hard-defect or line-edge roughness (LER) based on the defect size and geometric topologies affected by the defect. Contamination or particle type defects are categorized into on-absorber or on-ml (multi-layer). On-absorber means the defect is completely on top of the absorber surface and away from any ML by certain distance, and hence should not have any impact on printability. On-ML means the defect is fully or partially lying on the ML and may have printability impact. Depending on the defect-type identified, a more comprehensive analysis is then done for each classification: p VP IF OP 4P MIP VIP 4 4 II I I 00000.000 II I I a. Hard-Defect I b. LER.... c. on Absorber d. on ML Figure 6. Classification examples. 6a. Hard-defect, 6b. Line-edge roughness, 6c. Contamination or Particle on Absorber, 6d. Contamination or Particle affecting ML a. Hard-Defect When a defect is classified as an absorber hard-defect, its size and area are extracted (Figure 7b) as one of the metrics to judge defect severity, and also its printability impact is reported as shown in Figure 7c. Proc. of SPIE Vol. 0450 045006-4 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

b. LER In the SEM image of Figure 6b, there is no obvious defect seen and SEM ADC classifies it as LER. However, when upon zooming into the SEM (Figure 8b) and binary difference (Fig 8c) images, tiny extensions on the absorber edge are evident. SEM ADC classification does not react to tiny absorber defects, instead its printability in the EUV aerial image plane is considered to determine its criticality. In the example shown in Figure 8, both absorber edges on either side of the ML space have small extensions and even through each extension is small, the sum of its impact to print CD is seen to be quite large. This is a good example of why SEM ADC has both classification and printability checks in the flow. c. On Absorber Although On-absorber defects are supposed to be completely on top of the absorber and should not have any impact on printability, SEM ADC still checks its printability for conformation (Figure 9). In this case, all contact CD errors are less than 5% and also the size of the defect on ML is 0 nm 2 so SEM ADC can clearly disposition this as a nuisance. d. On ML When a defect lies on the ML, its area and size are computed (Fig 0b). Even though contamination and particle defects are not of the same material as absorber defects, SEM ADC considers them as full-height absorber (Figure 0c) and predicts their worst-case printability (Figure 0d) providing a conservative wafer print estimate. 00000300 00000300 a. Hard defect b. Defectlnfo size: X 3 nm area: 204 nm2 c. Printability CNT CDE /o= 32-36 % (V H) Figure 7a. Hard-defect result from Fig 6a. 7b. Binary difference to measure the defect size, 7c. Printability simulation result MI b. SEM c. Binary diff a. LER d. Printability Space CDE%=-2% Figure 8a. LER result from Fig 6b. 8b. Zoom-in SEM of defect site at 8a red box, 8c. Zoom-in binary difference at defect site, 8d. Printability simulation result Proc. of SPIE Vol. 0450 045006-5 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

OC)0'00000 a. On Absorber b. Defectlnfo area: 0 nm2 (on ML) c. Printability Worst CNT CDE% < 5% Figure 9a. Contamination/Particle completely on absorber result from Fig 6c. 9b. Zoom-in of gray difference, 9c. Printability simulation result. - - - - - - 000000: 000000: 00000: 000000 000000 o a. On ML b. Defectlnfo size: 2 X 2 nm area: 87 nm2..... c. Defect binary mask cl. Printability CNT CDE% = - 70 %/ -68% (HIV) Figure 0. Contamination/Particle on ML result from Fig 6d. 0b. Defect information, 0c. Extracted defect binary mask, 0d. Printability simulation result 3. RESULTS 3. Performance on defect classification Figure shows SEM ADC performance (in blue) on programmed defects (left) and naturally occurring defects in product-like masks (right). Also shown in red is the same for Optical ADC. It can be seen that the classification performance on programmed defects is almost the same but on natural defects, SEM ADC classification accuracy is substantially better than Optical. The poor resolution of main-features and defects in 93nm optical images causes defects to be cautiously classified as absorber hard-defects whereas using the SEM images, defects can be more precisely placed into their individual classification bins. Figure 2 shows some examples of real defects as imaged and classified in the 93nm optical and SEM images. The resolution of defects at 93nm do not lend itself to be sufficiently differentiated and hence are often conservatively classified as being on edge, i.e., critical. However, in the SEM images, the defect signatures are a lot clearer resulting in a more accurate and finer classification of not just absorber but also particles and contaminations that are on the absorber material and don t affect printability. Proc. of SPIE Vol. 0450 045006-6 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

Correct bins SEM / Optic Programmed Defect Absorber Defects Nuisances (false /LER) On Absorber Contam/ Particles Real defect types Dark Clear Pin Ext Ext Dots Pin Holes 67/68 6/5 53/5 44/42 N C st Real defect types Natural Absorber Nuisances On Contam/ Defect Defects (false /LER) Absorber Particles Absorber Defects 0/ 0/2 0/2 Nuisances (false /LER) /0 On Absorber Contam/ Particles 52 /72 20/ 977 0/20 3/5 945 /0 /0 2/0 20/0 20/ 0/0 55 /7 SEM ADC works better. Optical ADC works OK. Matching ratio, SEM 99.5 % vs. Optical 97.2 % SEM ADC works better. Matching ratio, SEM 95.8 % vs. Optical 3.5 % Figure. SEM ADC Classification Performance vs. Optical ADC on Programmed Defect vs. Natural Defects on product plates wi ADC with optical images Dark on Edge..... 4Dark on Edge ADC with SE images 000 0.00 000 On Absorber O0C C(D )00 Absorber defect ADC with optical images Clear on Edge Clear on Multiple Edge ADC with SEM images Contam/Particle Figure 2. Examples of how defects in 93nm optical images seem to have the same signature, however in the SEM images are markedly different resulting in ADC off SEM images to be much more effective 3.2 Performance on defect printability The printability performance of SEM ADC engine was verified with respect to another simulation engine as shown in Figure 3. This comparison was performed for many natural defects on product-like masks, and shows a fairly good correlation. The simulated aerial images (right) also show generally good matching between the two EUV defect modeling engines. Proc. of SPIE Vol. 0450 045006-7 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx

, SEM SEM ADC Another E y = 0.9506x R2= 0.8936 20-0 - CD error from SEM ADC 0-20 -0 ó 0 - E 0 E ó ó w _ ó r m E -20 -,c, Ñ V t., A 48 C, 0 ac a 20 3 u )00L 0000C 00 OC 0000C UUUU 0000 00a0 0000( 0000 Figure 3. Comparing EUV defect printability estimation from SEM ADC with another EUV simulation engine for natural defects i 4. CONCLUSIONS For EUV defect characterization and disposition, a SEM-based ADC solution provides the desired accuracy and precision of classification and estimated printability. 93nm optical inspection images clearly lack the resolution to accurately classify naturally occurring defects on EUV patterned masks. The EUV SEM ADC product is now qualified to support initial EUV mask manufacturing R&D and ramp. We plan to next correlate its print accuracy with actual wafer prints. Over the next few years, as EUV technology is expected to ramp up, SEM ADC is expected to be just as effectively used in HVM to better characterize defects and reduce post-inspection defect loading on downstream repair and review tools. REFERENCES [] Paul Morgan, et al, Computational defect review for actinic mask inspections Proc. SPIE. 868 (203) [2] Paul Morgan, et al, Computational mask defect review for contamination and haze inspections Proc. SPIE. 8880 (203) [3] C Clifford, C. H., et al, " Compensation methods using a new model for buried defects in extreme ultraviolet lithography masks SPIE Vol. 7823(200). [4] C.Y. Chen, et al, "Mask Defect Auto Disposition based on Aerial Image in Mask Production" Proc. SPIE 7379F (2009). [5] Erik Verduijn, et. al, Printability and actinic AIMS review of programmed mask blank defects, Proc. SPIE 043, 0430K (207) Proc. of SPIE Vol. 0450 045006-8 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on //207 Terms of Use: https://spiedigitallibrary.spie.org/ss/termsofuse.aspx