Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Similar documents
SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Self-Aligned Double Patterning for 3xnm Flash Production

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Multi-Shaped E-Beam Technology for Mask Writing

Readiness and Challenges of EUV Mask

Nano-Imprint Lithography Infrastructure: Imprint Templates

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Because Innovation Matters

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Auto classification and simulation of mask defects using SEM and CAD images

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

The Challenges in Making NIL Master Templates

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

PROGRESS OF UV-NIL TEMPLATE MAKING

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT 1 INTRODUCTION

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

The Transition to Patterned Media in Hard Disk Drives

Reducing tilt errors in moiré linear encoders using phase-modulated grating

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Lower BW and its impact on the patterning performance

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Approaching Zero Etch Bias at Cr Etch Process

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Recent results of Multi-beam mask writer MBM-1000

Cree XLamp 4550 LEDs BENEFITS

Overcoming Challenges in 3D NAND Volume Manufacturing

24. Scaling, Economics, SOI Technology

Selection of a cable depends on functions such as The material Singlemode or multimode Step or graded index Wave length of the transmitter

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Wafer Thinning and Thru-Silicon Vias

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

SEMICONDUCTOR TECHNOLOGY -CMOS-

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Sharif University of Technology. SoC: Introduction

SPATIAL LIGHT MODULATORS

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

SEMICONDUCTOR TECHNOLOGY -CMOS-

Organic light emitting diode (OLED) displays

WS2815 Intelligent control LED integrated light source

Post-Routing Layer Assignment for Double Patterning

BTC and SMT Rework Challenges

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Lithography-Induced Limits to Scaling of Design Quality

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

1. INTRODUCTION. Index Terms Video Transcoding, Video Streaming, Frame skipping, Interpolation frame, Decoder, Encoder.

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs. HSMx-C110/C120/C150/C170/ C177/C190/C191/C197/C265

The Distortion Magnifier

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

Features. Applications

High sensitive photodiodes

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Basic Electronics Prof. Mahesh Patil Department of Electrical Engineering Indian Institute of Technology, Bombay

Application Note AN-LD09 Rev. B Troubleshooting Low Noise Systems. April, 2015 Page 1 NOISE MEASUREMENT SYSTEM BASELINES INTRODUCTION

Analysis of the CW-mode optically controlled microwave switch

Sub-Synchronous PLACE Vibration FOR TITLE on Centrifugal Compressor with Tilt-Pad AUTHORS

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

2.1. Log on to the TUMI system (you cannot proceed further until this is done).

Defense Technical Information Center Compilation Part Notice

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Defense Technical Information Center Compilation Part Notice

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units

WP36BHD T-1 (3mm) Blinking LED Lamp

Westrex RA1713B Auxiliary Record Electronics

3M 8900 Single-mode SC Crimplok Connector

THE challenges facing today s mobile

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs

WS2812B Intelligent control LED integrated light source

Discrete Mode Laser Diodes emitting at l~689 and 780nm for Optical Atomic clock applications.

Phosphorescent OLED Technologies: The Next Wave. Plastic Electronics Conference Oct 9, 2012

Unit V Design for Testability

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays

Authorized Distributor. mouser.com/texas-instruments mouser.com/lumileds

Possible Paths for Cu CMP

Facedown Terminations Improve Ripple Current Capability

AMOLED Manufacturing Process Report SAMPLE

Deep Silicon Etch Technology for Advanced MEMS Applications

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

ASMT SxB5 Nxxxx Surface Mount LED Indicator

Features. Applications

INTERNATIONAL TELECOMMUNICATION UNION

WS2815B-V3 Intelligent control LED integrated light source

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Features. Applications

Features. Applications. Part Number Color Package Description

Transcription:

Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1

Motivation Need to keep up with Moore s Law EUV not ready yet Reduce minimum pitch size using existing technology (193nm Immersion Lithography), but have already minimized λ and maximized NA R = k 0λ NA D. Abercrombie, Will EUV Kill Multi-Patterning, SC Engineering (2017). Rasha H. El-Jaroudi 3 Minimizing k 1 k 1 is defined by process features OPC and RET were employed to correct for lithography imperfections If k 1 is below 0.2, RET/OPC begins to cause overlaps in neighboring shapes R = k 0λ NA D. Abercrombie, Will EUV Kill Multi-Patterning, SC Engineering (2017). Rasha H. El-Jaroudi 4 2

Minimizing k 1 Lowest half-pitch possible with immersion lithography was 36nm (k=0.25, NA=1.35, and λ=193nm) Double patterning can further reduce pitch size without changing NA or λ. 0.25 7 193 R = 36 nm 1.35 40 nm Rasha H. El-Jaroudi 5 Outline Motivation Techniques Litho-Etch-Litho-Etch (LELE) Self-Aligned Double Patterning (SADP) Litho-Freeze-Litho-Etch (LFLE) Future of Double Patterning Rasha H. El-Jaroudi 6 3

LELE - Process Resist Litho 1: Hard Mask Expose and Develop the 1 st pattern into the resist Resist Hard Mask Etch 1: Etch the 1 st Pattern into the hard mask Rasha H. El-Jaroudi 7 40 nm LELE Process Cont. Hard Mask/Resist Litho 2: Expose and Develop the 2 nd pattern into the resist 40 nm Etch 2: Etch the patterns into the silicon Rasha H. El-Jaroudi 8 4

LELE - Advantages Advantages No new technology Successfully reduce pitch D. Abercrombie, Will EUV Kill Multi-Patterning, SC Engineering (2017). Rasha H. El-Jaroudi 9 LELE- Challenges Twice as many steps as single exposure Increased cost Decreased throughput Complicated etch steps First etch step transfers pattern to hard mask Second etch step needs to account for resist and hard mask Mask alignment Need to recombine two images to form the intended image M. Maenhoudt et al. Proc of SPIE Vol. 6924, 692409, (2008) Rasha H. El-Jaroudi 10 5

11/6/17 LELE- Challenges Cont. Double patterning is more sensitive to variations in process OPC can design for ideal process conditions Errors in dose, focus, or mask overlay will affect potential yield V. Wiaux et al. Proc. of SPIE Vol. 6924, 692409, (2008) Rasha H. El-Jaroudi 11 LELE- Challenges Cont. 1 Mask Overlap Ideally would need no overlap Need to compensate for trench pull back Mask Overlay Issues 2 Misalignment can severely affect the device s reliability Ideal Overlay 5-7 nm Overlay Error 1. V. Wiaux et al. Proc. of SPIE Vol. 6924, 692409, (2008) 2. Yan Borodovsky, Intel, 2012 International Workshop on EUV Lithography. Rasha H. El-Jaroudi 12 6

SADP- Process Resist Litho 1: Dummy pattern is created on the silicon Sidewalls Resist Deposit Sidewalls Rasha H. El-Jaroudi 13 SADP- Process Resist Sidewalls/Resist 40 nm Etch: Remove film everywhere but sidewalls Strip Dummy Pattern Etch: Etch the pattern into the silicon Rasha H. El-Jaroudi 14 7

SADP Advantages Lowest cost Overlay is similar to single patterning requirements Disadvantages Every feature will have the same linewidth Creates loops Trim masks required D. Abercrombie et al, Fill/Cut Self-Aligned Double Patterning, SC Engineering (2016). Rasha H. El-Jaroudi 15 SADP- Masks Block Mask Protects spaces between metal targets with dielectric Complex, difficult to print 2 1 1 1. B. Moyer. Double-Patterning s Evil Twin, EE Journal (2013). 2. D. Abercrombie, Self-Aligned Double Patterning Part Deux, SC Engineering (2014). Rasha H. El-Jaroudi 16 8

SADP- Masks Fill/Cut Approach Extend target lines to borders with additional dummy lines in Mandrel Mask (Fill) Cut Mask creates gaps in lines Adds additional dummy metal to original design Better for lithography, easier to make D. Abercrombie, Fill/Cut Self-Aligned Double-Patterning, SC Engineering (2016). Rasha H. El-Jaroudi 17 SADP- Masks D. Abercrombie, Self-Aligned Double Patterning Part Deux, SC Engineering (2014). Rasha H. El-Jaroudi 18 9

LFLE - Process Resist Litho 1: Expose and Develop the 1 st pattern into the resist Resist Freeze: Cure and bake remaining resist Rasha H. El-Jaroudi 19 LFLE - Process Resist Litho 2: Expose and Develop the 2 nd pattern into the resist 40 nm Etch 1: Etch both patterns into the silicon Rasha H. El-Jaroudi 20 10

LFLE Advantages Reduces number of steps Increases throughput All steps can be carried out in the same system Challenges Existence of freezing material Same overlay issues as LELE Rasha H. El-Jaroudi 21 LFLE- Protective Coating Cover first pattern with protective material, acid in protective coating diffuses into resist and crosslinks features Causes CD growth of 15-25 nm Reduces double patterning pitch reduction 1 st and 2 nd lines will be different sizes Difficult to fix using OPC CD growth dependent on exposure energy in addition to pitch and mask CD A. Vanleehove et al. Proc. of SPIE Vol. 6520 65202F-1 Rasha H. El-Jaroudi 22 11

LFLE- UV Curing 193nm Increasing dose, suppresses swelling but increases resist flow 172nm Suppresses swelling without causing reflow Need to cure and bake to prevent 1 st resist distortion during 2 nd resist process Causes CD shifts, lineend shortages, and corner feature deformation N. Bekiaris et al. Proc. of SPIE Vol. 6923 692321-4 Rasha H. El-Jaroudi 23 Double Patterning Summary Litho-Etch-Litho-Etch First double patterning technique Successfully reduces k 1 below previous limit Doubles the processing steps, so doubles the cost and reduces throughput Requires a hard mask Suffers from mask overlay issues C. Mack, Seeing Double, IEEE Spectrum (2008). Rasha H. El-Jaroudi 24 12

Double Patterning Summary Cont. Self-Aligned Double Patterning Developed in response to LELE s mask overlay issues Single lithography step Need to use an additional block or cut mask to remove unwanted material Complicated to design masks for SADP Process intensive C. Mack, Seeing Double, IEEE Spectrum (2008). Rasha H. El-Jaroudi 25 Double Patterning Summary Cont. Litho-Freeze-Litho-Etch Reduces complexity of LELE In track process Increased throughput Does not require a hard mask Dependent on development of freezing process Freezing can cause swelling or shrinkage in lines C. Mack, Seeing Double, IEEE Spectrum (2008). Rasha H. El-Jaroudi 26 13

Double Patterning Summary Cont. No perfect technique Splitting and designing double exposure masks is non trivial Not all images can be successfully split for double exposure No single exposure option, yet D. Abercrombie, Will EUV Kill Multi-Patterning, SC Engineering (2017). Rasha H. El-Jaroudi 27 Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 28 14

EUV wavelength is 13.5nm What about EUV Lithography? In 2014, ASML said EUV is coming between 2019-2024 3nm nodes 200 W/hr 7nm nodes 34 Lithography steps with multi-patterning 9 Lithography steps with EUV EUV may not be ready until 5nm nodes Requires multi-patterning with EUV M. Van den Brink, AMSL Small Talk 2014. Rasha H. El-Jaroudi 29 Comparing Costs Patterning Technique Normalized Wafer Cost 193i SE 1 193i SADP 2 193i LELE (DP) 2.5 193i SAQP 3 193i LELELE (TP) 3.5 EUV SE 4 EUV SADP 6 A. Raley et al., Proc. SPIE 9782, 97820F (2016). Rasha H. El-Jaroudi 30 15