Self-Aligned Double Patterning for 3xnm Flash Production

Similar documents
COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Because Innovation Matters

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Overcoming Challenges in 3D NAND Volume Manufacturing

Nano-Imprint Lithography Infrastructure: Imprint Templates

Readiness and Challenges of EUV Mask

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

PROGRESS OF UV-NIL TEMPLATE MAKING

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Advanced Display Manufacturing Technology

The Challenges in Making NIL Master Templates

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

AIXTRON in EXCILIGHT project

HB LEDs & OLEDs. Complete thin film process solutions

SEMICONDUCTOR TECHNOLOGY -CMOS-

Defense Technical Information Center Compilation Part Notice

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

SEMICONDUCTOR TECHNOLOGY -CMOS-

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

The Transition to Patterned Media in Hard Disk Drives

Deep Silicon Etch Technology for Advanced MEMS Applications

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Challenges in the design of a RGB LED display for indoor applications

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Multi-Shaped E-Beam Technology for Mask Writing

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

AMOLED Manufacturing Process Report SAMPLE

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Wafer Thinning and Thru-Silicon Vias

Standard Operating Manual

(12) Patent Application Publication (10) Pub. No.: US 2016/ A1

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

IC TECHNOLOGY Lecture 2.

2016, Amkor Technology, Inc.

Electron Beam Technology

Approaching Zero Etch Bias at Cr Etch Process

Digital Light Processing

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SciFi A Large Scintillating Fibre Tracker for LHCb

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

Introduction and recent results of Multi-beam mask writer MBM-1000

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem.

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

CMP and Current Trends Related to Advanced Packaging

IC Mask Design. Christopher Saint Judy Saint

Forward-Looking Statements

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

EECS150 - Digital Design Lecture 2 - CMOS

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Spatial Light Modulators XY Series

CS250 VLSI Systems Design

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

ABSTRACT 1 INTRODUCTION

A new Scintillating Fibre Tracker for LHCb experiment

Facedown Terminations Improve Ripple Current Capability

Solderability Test Summary Report

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Digital Integrated Circuits EECS 312

The Company. A leading OLED player

OV µm Pixel Size Back Side Illuminated (BSI) 5 Megapixel CMOS Image Sensor

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

Auto classification and simulation of mask defects using SEM and CAD images

Reliability of Level 1 and Level 2 Packaging in Solid-State Lighting Devices

Lossless Compression Algorithms for Direct- Write Lithography Systems

Verification of HBM through Direct Probing on MicroBumps

Layout Analysis Analog Block

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C

1. Publishable summary

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

Recent results of Multi-beam mask writer MBM-1000

ADA-4789 Data Sheet Description Features Specifications Package Marking and Pin Connections 4GX Applications

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Study of Pattern Area Reduction. with FinFET and SGT for LSI

Technology Overview LTCC

Wafer defects can t hide from

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

CCD 143A 2048-Element High Speed Linear Image Sensor

Transcription:

Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008

Overview Double Patterning Drivers for Flash Comparison of Double Patterning Schemes Applied Materials Self-aligned Double Patterning (SADP) SADP Approach & 32nm Demonstration SADP 22nm Extendibility Application Demonstration Production Proven Products for SADP Flash Manufacturers Summary 2

Double Patterning Drivers 3

Drivers for SADP Flash - Aggressive half pitch needs Immersion Lithography Resolution limitations Self-aligned double patterning (SADP) provides the capability to achieve aggressive half pitch at relaxed litho conditions 4

Double Patterning Schemes 5

Double Patterning Approaches Type Double Imaging Double Patterning Self Aligned Double Patterning 1 st Exposure Resist BARC HM Device Process Flow Coat Expose Develop Resist Freeze One critical exposure Coat Expose Develop Spacer Technology Overlay (Requirement: <3nm) ~ 6-8nm ~ 6-8nm not applicable CDU (Requirement: <3-4nm) Line - 2nm Space 3.7nm (w/o Overlay) Space 5.1nm1 (w/ Overlay) Line - 2nm Space 3.75nm (w/o Overlay) Line < 1.5nm Space < 3.0nm (1 population combining S1 &,S2) Line Edge Roughness (Requirement: <3-4nm) ~ 4-5nm ~ 3-4nm < 2nm (Applied process) 6

SADP Scheme Comparisons Process Scheme Poly PR/SOH APF Negative Positive Positive Line by Fill Line by Spacer Line by Spacer Metrics Poly Core Resist or SOH Core APF Core CDU, 3σ Line/Core/Gap Good for trench Good for line Good for line 1.1 / 1.7 / 2.4nm LER Poly ~3.5nm Same as PR <2nm Core Mech Integrity Good Poor Good Materials Selection Thermal budget, gapfill, spacer SC New Materials for PR and Spacer, thermal budget Known films (APF, PE Spacer) Defectivity High (Poly Etchback) Low Low (Defect gallery in dev) Extendibility to 2xnm Gapfill issue PR height & integrity Demonstrated to 2x 7

SADP: Memory Makers Production Choice Samsung touts 30nm NAND flash using self-aligned double-patterning Solid State Technology October 23, 2007 - Samsung Electronics Co. Ltd. says it has developed 64Gb multilevel cell NAND flash memory chip using 30nm process technology, built using double-patterning lithography, with commercial chips ready in about a year. The new device utilizes a process called "self-aligned double patterning technology" (SaDPT), an upgrade from charge trap flash that Samsung has used for NAND flash on silicon nitride. in SaDPT, the first pattern transfer is a wider-spaced circuit design of the target process technology, then a second pattern transfer fills in the spaced area with a more closely designed pattern (see figure). Samsung says it will use SaDPT with "existing photolithography equipment" for production using the 30nm process technology, targeting commercial production in 2009. In addition to the 64Gb MLC device, it has also built a 32Gb single-level cell NAND flash chip. Up to 16 64Gb flash devices can be combined into a 128GB memory card, capable of storing 80 DVD-quality-resolution movies, or 32k MP3 music files, the company Top said. Story: Lithography is among top productivity challenges Semiconductor International November 8, 2007 -- Lithography is among the top productivity challenges facing the semiconductor industry, but packaging and testing costs are another area that must be addressed, according to Jin Seog Choi, chief technology officer at Hynix Semiconductor Inc. (Icheon, South Korea). In a panel presentation at the International Trade Partners Conference (ITPC), held this week in Maui, Hawaii, Choi addressed the future technologies needed to keep cost reductions on track. Starting in 2009, when NAND devices are using 30-35 nm design rules and DRAM is in the 43-48 nm range, the memory manufacturers face critical lithography choices. Extreme ultraviolet (EUV) lithography offers a low k1 factor, but it is unclear when EUV will be ready for high-volume manufacturing. Spacer patterning technology could be used for NAND memories or double patterning lithography may be required, for both DRAM and NAND devices. However, double patterning has a high cost of ownership. The low throughput of double patterning is a key issue, Choi said Major NAND Flash Manufacturers have adopted SADP scheme as the baseline for 3xnm critical layers module development 8

32nm and 22nm APF based SADP APF Properties: Integrity, Alignment SADP Scheme Performance, LER CDU: Line vs Space 9

Self Aligned Double Patterning Scheme Print 32nm L&S with 193 dry Line Edge Roughness 1.5nm Photoresist Nitride 32 nm APF Oxide HM PhotoresistBefore After Trim Line Edge Roughness: 2.8 3.1nm 48 nm 34 nm Target 10

AMAT 3X SADP Performance Summary Core Gap Map 1: 92 Die 1 point per die After Bottom APF Etch Map 2: 4 full die, 8 partial 25 sub-die locations Map 3: 9 die 11 locations across array Wafer left Wafer center Wafer right B G F C A E H I D Top-view (Illustrative) 92 die 1 pt Wafer Edge Core (nm) 26.4 27.1 Gap (nm) 26.5 25.7 Line (nm) 38.1 38.2 Core-Gap Delta (nm) 0.1-1.4 Within Array 39.0 1.7 1.9 0.9 LER (nm) 1.4 1.4 LWR (nm) 1.5 1.3 Core CDU 3σ (nm) 3.1 2.5 Gap CDU 3σ (nm) 2.7 3.2 Line CDU 3σ (nm) 1.1 1.4 0.1nm CD difference between core & gap CDU <2.4nm Litho LWR 3.5nm Bottom APF LWR 1.4nm APF AdvantEdge G5 Etch NDP Spacer 11

22nm Extendibility 12

Summary of AMAT 22nm Spacer Mask Demonstrations: Core Gap 22nm Carbon Hardmask Top-view Immersion Litho Core Gap Line LER Core LER Gap Mean (nm) Slot 16 Slot 25 47.6 47.5 24.1 24.7 22.9 22.7 22.8 22.5 1.6 nm 1.6 nm 1.7 nm 1.5 nm 3σ (nm) Slot 16 Slot 25 1.8 1.8 1.7 2.0 2.2 2.5 1.8 1.1 *Results from 20 die measurement Demonstrated extendibility to 22nm CD Control of 2nm (3σ) LER <2nm 13

Application Demonstration 14

Applied Materials SADP Demonstrations Demonstrated Hardmask Patterning 32nm APF 22nm APF 34nm Self Aligned Dense Contact HM Demonstrated Applications: Hardmask for Contact Holes 32nm TANOS 32nm Oxide APF SiO2 32nm STI 22nm Copper Trench 22nm GDR for Logic 22nm STI Demonstrated on Flash critical dimension applications 15

32nm SADP Process Flow for TANOS Flash SADP Etch 1 Process SADP Etch 2 Process CD Trim Top APF Etch Spacer Etch APF Strip-Out Etch Stop Etch SADP Etch 2 Process Metal Gate Etch Process HiK Etch Process Bottom APF Etch Oxide H.M. Etch W / WN / TaN Al2O3 and Si3N4 AMAT AdvantEdge etch chamber was used for all patterning etch steps SADP only required 2 etch steps for patterning 16

Core APF Automatic Process Control (APC) on G5 FF and FB Control ( Litho -> Trimming/BARC/APF Core Etch) 1 2 3 No control FF+FB FF+FB O 2 Perturbation wafers were generated with an intentional CD variation to demonstrate FF and FB capability Litho CD Range 6.2nm and CD 1σ: 1.49nm Target CD is 38nm. Post etch CD (nm) FF & FB FF only Litho CD (nm) Wafers were split into three groups: Group 2 and 3: Incoming CD 1σ: 1.38nm Post etch CD 1σ: 0.57nm Post etch CD Wafer O2 12sccm 15sccm) Post etch CD w/ no FB (FF only) Demonstrated WTW CD control of 1.5% of target CD, despite intentional incoming CD variation and O 2 flow perturbation. 17

AMAT SADP Products 18

AMAT is ready for patterning 32nm & beyond Technologies available on proven manufacturing platforms to reduce risk and time to market Producer APF NDP PECVD AdvantEdge G5 Etch UVision SP Brightfield VeritySEM Metrology Demonstrated Spacer Self-Align Double Patterning 32nm Lines & Spaces with TANOS Stack, STI, oxide 22nm Lines & Spaces with oxide & gridded STI structures Achieved: LER [<1.7nm] CD Uniformity [<2nm] Overlay [<3nm] SADP is ready today for 32nm production Extendibility to 22nm is proven 19

Summary 20

SADP: Spacer Mask Approach APF Core Good LER Ashable no wet clean required Stable at high temp - spacer temp requirement relaxed as a result B C NDP Spacer >80% step coverage Good uniformity Good long range micro-loading performance A G5 for all SADP etch steps All-in-one chamber for all SADP etch steps & pattern etch Good CDU High productivity no warmup necessary APF Hardmask Good LER of 2nm Good line bending resistance 5:1 at 32nm; 4:1 at 22nm Full Portfolio Of Products Available For SADP Integration Schemes - Including UVision for defect analysis and Verity for CD measurement 21

22