DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Similar documents
Self-Aligned Double Patterning for 3xnm Flash Production

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Because Innovation Matters

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Overcoming Challenges in 3D NAND Volume Manufacturing

Nano-Imprint Lithography Infrastructure: Imprint Templates

Readiness and Challenges of EUV Mask

Post-Routing Layer Assignment for Double Patterning

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

1. Publishable summary

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Approaching Zero Etch Bias at Cr Etch Process

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

SEMICONDUCTOR TECHNOLOGY -CMOS-

Digital Light Processing

Possible Paths for Cu CMP

Recent results of Multi-beam mask writer MBM-1000

SEMICONDUCTOR TECHNOLOGY -CMOS-

The Challenges in Making NIL Master Templates

Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Sharif University of Technology. SoC: Introduction

The Transition to Patterned Media in Hard Disk Drives

AltiumLive 2017: Effective Methods for Advanced Routing

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Introduction and recent results of Multi-beam mask writer MBM-1000

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Advanced Display Manufacturing Technology

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

PROGRESS OF UV-NIL TEMPLATE MAKING

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Automotive Display. Technology & Products. Truly Automotive Display Department Rev. K

QUIZ BUZZER KIT TEACHING RESOURCES. Version 2.0 WHO ANSWERED FIRST? FIND OUT WITH THIS

Phosphorescent OLED Technologies: The Next Wave. Plastic Electronics Conference Oct 9, 2012

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Study of Pattern Area Reduction. with FinFET and SGT for LSI

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures

IC Mask Design. Christopher Saint Judy Saint

Development of OLED Lighting Applications Using Phosphorescent Emission System

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Multi-Shaped E-Beam Technology for Mask Writing

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

IC TECHNOLOGY Lecture 2.

3M High-Speed Solutions

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

FinFETs & SRAM Design

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

ECE 555 DESIGN PROJECT Introduction and Phase 1

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem.

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

ESE534: Computer Organization. Previously. Today. Previously. Today. Preclass 1. Instruction Space Modeling

Deep Silicon Etch Technology for Advanced MEMS Applications

Scalable Media Systems using SMPTE John Mailhot November 28, 2018 GV-EXPO

Auto classification and simulation of mask defects using SEM and CAD images

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

EUV Blank Inspection

Reading a GEM with a VLSI pixel ASIC used as a direct charge collecting anode. R.Bellazzini - INFN Pisa. Vienna February

Introducing The ebeam Initiative

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

Chapter 05: Basic Processing Units Control Unit Design Organization. Lesson 11: Multiple Bus Organisation

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

CS250 VLSI Systems Design

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

COLOUR CHANGING USB LAMP KIT

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

TKK S ASIC-PIIRIEN SUUNNITTELU

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Antenna: a key enabler for 5G innovative RFIC development

P802.3av interim, Shanghai, PRC

SEMI 大半导体产业网

Advanced backlights for LCD cockpit displays

ISPD 2015 Detailed Routing-Driven Placement Contest with Fence Regions and Routing Blockages

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

NZQA registered unit standard version 1 Page 1 of 6. Prepare and write a news story for broadcast on television

Reconfigurable Neural Net Chip with 32K Connections

Lithography-Induced Limits to Scaling of Design Quality

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Focused-ion-beam fabrication of nanoplasmonic devices

AltiumLive 2017: The Benefits of Grid Systems in Board Design

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Transcription:

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles

2 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

3 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

4 LITHOGRAPHY ROADMAP FOR LOGIC CMOS 150 METAL Pitch roadmap for Logic CMOS 130 126 40nm 193nm Immersion Pitch nm 110 90 70 50 30 10 90 20nm 193nm Immersion double patterning by frequency doubling double patterning used for: 1- active/gate end cut 2- salicide area definition 3- contact (pitch splitting) 4- metal1x (splitting vs doubling) double patterning used for cutting gate end on dense memory cell 28nm 193nm Immersion 64 14nm EUV or SIT 193nm Immersion 2008 2010 2012 2014 2016 Years Pitch limit for 1D single expo 193nm Immersion 45 10nm EUV or ML2 32

5 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

DOUBLE PATTERNING INTRODUCTION IN 40 AND 28nm TECHNOLOGIES Critical gaps between gate are more easily patterned using a cut mask. No design split, neither constrained design rules, but pitch is not enhanced.

7 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

8 DOUBLE PATTERNING FOR 20nm For 20nm technology, what are the options? 1D critical levels where pitch is 80nm, can be patterned with cut mask strategy active area and gate definition 2D critical levels at pitch 80nm have to be patterned with pitch splitting, and litho-etch-litho-etch (LELE) strategy: Contacts definition. 1D critical levels where pitch is 80nm, can be patterned with pitch splitting (LELE) or frequency doubling patterning (Spacer Image Transfer): Metal 1X where pitch is 64nm Will be discussed later on

9 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

Target mask1 mask2 Target mask1 mask2 Target mask1 mask2 10 DOUBLE PATTERNING FOR METAL1X 20nm Target 2 viable solutions exist: Target Target Target Direct decomposition i.e. LELE Indirect decomposition i.e. SIT (Sidewall Image Transfer) Target Litho 1 Litho 2 Litho 2 Mask 1 Mask Mask 1 2 Litho 1 Mask 2 Mask 1 Mask 21 mask1 Target Target Target mask1 mask1 mask1 mask1 mask2 mask2 mask1 mask2 mask1 mask2 mask1 mask1 mask2 mask2 mask2 Mentor DDL Brion DDL Mentor DDL Brion DDL Mentor DDL In both cases, small trenches (35 nm) have to be printed Brion DDL Mentor DDL as Positive Tone Development is limited to 45nm dimension, introduction of Negative Tone is mandatory Brion DDL Double patterning and Negative Tone development represent real process breakthroughs regarding previous node

LELE SIT 11 DETAILS OF DOUBLE PATTERNING FOR METAL1X 20nm SOC opened TEOS hardmask TiN hardmask SOC opened TiN hardmask Litho1 + trilayer etch Litho1 + trilayer etch SiO2 spacer on SOC Etch TEOS hardmask Spacer Dep + Etch+ SOC strip Litho2 + trilayer etch Litho2 + trilayer etch Etch2 TEOS hardmask + TiN + resist strip Etch TiN hardmask + SOC strip Similar flow complexity, but different patterning accuracy

12 DOUBLE PATTERNING FOR METAL1X 20nm: SIT process scheme clips 3. 2 nd Block litho 4. Etch TiN HM 1. Core-mandrel 2. Spacer etch

13 DOUBLE PATTERNING FOR METAL1X 20nm: LELE process scheme clips M1 M1+I1 I1 TEOS Hard Mask opened TEOS Hard Mask M1 TiN Hard Mask opened I1 M1 M1 TEOS Hard Mask opened TiN Hard Mask Bottom CD1(M1) = 25.7nm Bottom CD2(I1) = 27.2nm

14 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

15 DESIGN CHALLENGES Main Challenges Need design process co-optimisation to define the rules Design rules needs to be DP-aware to avoid coloring conflict (Not able to correct these conflicts at process level). RX PC CTC > crit pitch < crit pitch < crit pitch Decomposition capability has to move from process level () to design level (P&R) P&R tools need to be abble to automaticaly correct colouring conflict

16 DESIGN CHALLENGES Example of DPT Routing issue SIT LELE Coloring conflict Color conflict at stitching area

17 DESIGN CHALLENGES After re-routing SIT LELE

18 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

LELE Litho1 Litho2 PROCESS CHALLENGES LELE Overlay Litho2-litho1 CD1 CD2 Dimensional control: 2 independent distributions High dimentional control required Yield/Reliability: space between metal lines should not be too small and directly depends on overlay of litho2 to litho1 Overlay: control to previous and following double patterning levels. Gaps between lines end, have limited resolution High overlay constraint around 3 nm between litho 1 and 2 Complexe and tighter overlay to previous and following double patterning levels. Additional cut mask can be added at the expense of high costs 19

SIT PROCESS CHALLENGES SIT CD1 CD2 Dimensional control: CD2 depends on CD1 and uniformity of spacer process Gaps between lines end, and line to line end, is controled by the second litho High uniformity of spacer process (spacer deposition and etch) is required CD compensation from non uniformity can be optimized in litho High resolution of second litho is required for gap, through lithography and optimization 20

21 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

DOUBLE PATTERNING COSTS COMPARISON 22 Back end choosen here is an 11 metal levels, using trench first hard mask for all levels but the last 2 metals, with: 2 or 3 Metal1X pitch 64: LELE or SIT using trilayer 4 or 5 Metal1X pitch 80: Single patterning using Barc 2 Metals2X 193nm lithography 2 Metals 8X 248nm lithography Pads 248nm lithography

23 DOUBLE PATTERNING COSTS COMPARISON 1 Metal1X brick Double Cu damascene, trench first hard mask process # Steps for Critical Met1X patterning Ref 28nm LELE SIT ULK stack deposition 5 5 5 Hard masks dep. 2 4 2 Critical diel deposition Litho (metal+via) 3 6 6 Etch/cleans (metal+via) 6 9 10 Metal barrier+fill 2 2 2 CMP 1 1 1 # of steps 19 27 27 Number of steps increased equally by 40%, for LELE and SIT 1

24 DOUBLE PATTERNING COSTS COMPARISON Cost increase for 1 Metal1X brick Cost increase for the whole Back End As a conclusion, LELE has a slight cost advantage vs SIT. It is simpler to introduce, using more conventional design rules and routers, deposition and patterning techniques, whereas it has strong overlay constraints.

25 OUTLINE Lithography Roadmap Double Patterning introduction in 45 and 28nm Technologies Double Patterning for 20nm Presentation of Double Patterning for Metal1X Interconnection for 20nm Design Challenges Process Challenges Double Patterning Costs comparison Conclusions

26 CONCLUSIONS 20nm LITHOGRAPHY LEVEL DOUBLE PATTERNING CUT MASK DOUBLE PATTERNING PITCH SPLIT - LELE DOUBLE PATTERNING PITCH DOUBLING - SIT ACTIVE - GATE -Possible strategy because of pitch @ 80nm -Process: overlay not over constrained -Cost: low added cost -Possible strategy -Process: high overlay constraint -Cost: moderate added cost -Possible strategy -Process: constraint on CD control -Cost: moderate added cost CONTACT VIA1X -Not suitable! Possible strategy Process: overlay not overconstrained if contact is the only LELE level -Possible, with very restrictive design rules LINE1X -Impossible due to pitch < 80nm -Possible strategy -Design: easy for traditional routing tools -Process: high overlay constraint -Cost: moderate added cost -Possible strategy -Design: need new routing tools -Process: need new critical deposition process. Constraint on CD control. Scalable down to 14nm. -Cost: moderate added cost

27 Acknowledgements This presentation has been made possible thanks To Lens Project Organisation and Partners To ST R&D teams

Thank You 28