EUV Blank Inspection

Similar documents
PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Readiness and Challenges of EUV Mask

Nano-Imprint Lithography Infrastructure: Imprint Templates

Auto classification and simulation of mask defects using SEM and CAD images

Multi-Shaped E-Beam Technology for Mask Writing

PROGRESS OF UV-NIL TEMPLATE MAKING

Approaching Zero Etch Bias at Cr Etch Process

Wafer defects can t hide from

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Standard Operating Procedure of nanoir2-s

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Compact multichannel MEMS based spectrometer for FBG sensing

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Introduction and recent results of Multi-beam mask writer MBM-1000

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Recent results of Multi-beam mask writer MBM-1000

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Organic light emitting diode (OLED) displays

1. Publishable summary

Advanced Display Manufacturing Technology

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications

-Technical Specifications-

Explore the Art of Detection

YXLON Cougar EVO PLUS

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Electron Beam Technology

At-speed testing made easy

NONDESTRUCTIVE INSPECTION OF A COMPOSITE MATERIAL SAMPLE USING A LASER ULTRASONICS SYSTEM WITH A BEAM HOMOGENIZER

Auto-Teach. Vision Inspection that Learns What a Good Part Is

Transfer Radiation Thermometer With Temperature Range Of 0 C To 3,000 C

The TORCH PMT: A close packing, multi-anode, long life MCP-PMT for Cherenkov applications

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

DLP Discovery Reliability Application Note

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera

Automatic Defect Recognition in Industrial Applications

Emerging Subsea Networks

Characterization and improvement of unpatterned wafer defect review on SEMs

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full.

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts

Leica TCS CARS. Live Molecular Profiling Technical Documentation. Living up to Life

Measurement of Microdisplays at NPL

Color measurement and calibration of professional display devices

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

The hybrid photon detectors for the LHCb-RICH counters

Please feel free to download the Demo application software from analogarts.com to help you follow this seminar.

Figure 1. MFP-3D software tray

Flip Chip Solder Bump Characterization in 3D with X-Ray Microscopy. J. Gelb, A. Gu, L. Hunter, B. Johnson, and W.

Impact of DMD-SLMs errors on reconstructed Fourier holograms quality

GMOS CCD Upgrade Options S. Kleinman, J. Jensen 26Sep08

Financial disclosure statement. Fluoroscopic Equipment Design: What s s Different with Flat Panel? Concept of flat panel imager

Overcoming Challenges in 3D NAND Volume Manufacturing

A HIGHLY INTERACTIVE SYSTEM FOR PROCESSING LARGE VOLUMES OF ULTRASONIC TESTING DATA. H. L. Grothues, R. H. Peterson, D. R. Hamlin, K. s.

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

KRAMER ELECTRONICS LTD. USER MANUAL

Failure Analysis Technology for Advanced Devices

SPATIAL LIGHT MODULATORS

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

111 Highland Drive Putnam, CT USA PHONE (860) FAX (860) SM32Pro SDK

Videotape to digital files solutions

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation

Lossless Compression Algorithms for Direct- Write Lithography Systems

BTC and SMT Rework Challenges

NDT Applications of All-Electronic 3D Terahertz Imaging

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Is Optical Test Just an Illusion? By Lloyd Doyle. Background

High ResolutionCross Strip Anodes for Photon Counting detectors

PulseCounter Neutron & Gamma Spectrometry Software Manual

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Laser Beam Analyser Laser Diagnos c System. If you can measure it, you can control it!

Sealed Linear Encoders with Single-Field Scanning

PSC300 Operation Manual

FAST MOBILITY PARTICLE SIZER SPECTROMETER MODEL 3091

Taking Technology to the Marketplace. Aram Mooradian Founder & CTO Sunnyvale, CA, USA

Press Release Plastic Electronics 2013 October 8th 10th, 2013, in Dresden/Germany Hall 2, booth no. 292 (joint booth of Organic Electronic Saxony)

PRACTICAL APPLICATION OF THE PHASED-ARRAY TECHNOLOGY WITH PAINT-BRUSH EVALUATION FOR SEAMLESS-TUBE TESTING

Multifrequency Eddy Current Inspection of Rivetrows on Aircraft Structures

Selected Problems of Display and Projection Color Measurement

Performance of the MCP-PMT for the Belle II TOP counter

CARESTREAM DIRECTVIEW Elite CR System

Development of OLED Lighting Panel with World-class Practical Performance

Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Electron Beam Technology

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

CARESTREAM DIRECTVIEW Elite CR System

E X P E R I M E N T 1

Concept of Operations (CONOPS)

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Wafer Thinning and Thru-Silicon Vias

APPLICATION OF PHASED ARRAY ULTRASONIC TEST EQUIPMENT TO THE QUALIFICATION OF RAILWAY COMPONENTS

Transcription:

EUV Blank Inspection J.H. Peters* a, C. Tonk a, D. Spriegel b, Hak-Seung Han c, Wonil Cho c, Stefan Wurm d a Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany; b Siemens AG, Corporate Technology, Otto-Hahn-Ring 6, 81739 München, Germany; c SEMATECH, 255 Fuller Rd, Albany, NY 12144 d SEMATECH, 2706 Montopolis Drive, Austin, TX 78749-6499 ABSTRACT One of the major topics for the introduction of extreme ultraviolet (EUV) technology is the availability of defect-free masks. From the mask makers perspective, the quality of the incoming blank material is one of the key ingredients impacting the yield of structured masks. Besides flatness and layer thickness uniformity, the number of defects determines the final quality of the mask delivered to the customer for printing. Defects within the layers of optical blanks have shown that they have a growing impact on the mask structuring process, well below the usual defect specifications of the blanks. It is expected that this finding will also hold true for material layers above the multi-layer. In addition to printing performance, EUV masks need to have a defect-free multi-layer. While this layer is easily accessible during blank production, mask makers usually acquire the complete blank stacks with or without the coated resist. Incoming blank inspection, therefore, must check the quality of the complete stack without destroying any of the functionality of these layers. Siemens and AMTC have jointly developed a blank inspection tool that has been installed in AMTC s Dresden facility and that allows the screening of an EUV blank under these boundary conditions. Previously, SEMATECH successfully installed an M7360 from Lasertec in its Mask Blank Development Center (MBDC) in Albany, NY, that shows much better sensitivity data than SEMATECH s earlier tool, the Lasertec M1350. This paper describes the early performance of the Siemens DF-40XP on programmed multi-layer defect blanks and regular blanks and compares it to that of the existing tools at the SEMATECH MBDC. Keywords: EUV blank inspection, multi-layer defects, detection sensitivity 1. INTRODUCTION An adequate blank inspection tool is one of the key elements in the production and usage of EUV blanks for mask making. It will support the development and enable the verification of processes for a defect free production of EUV blanks. For the introduction of EUV as a viable lithography solution for the production at or beyond the 32nm node, the availability of defect free masks made out of these blanks is still one the gating factors for an industrial adoption. From the mask makers perspective a tool allowing to identify and locate defects in the incoming inspection adds additional possibilities to set up intelligent manufacturing processes which either use the location information for a proper placement of structures with respect to defects in order to mask them or to specifically probe the final mask for an imaging impact during final defect screening. Both these methods would greatly benefit from additional infrastructure like unique blank identification 1 and related defect map standards 2. The challenge for EUV blank defect inspection tools for applications at or beyond the 32 nm node lies in the fast and complete screening of the entire blank quality area with high enough sensitivity to locate on a variety of different materials all particles larger than the respective defect cut-off size of e.g. 30 nm on the substrate for the 32 nm node 3 without damage to the very delicate multi-layer. SEMATECH has extensively been studying defect formation during the deposition of the multi-layers. One major achievement in their mask blank development center (MBDC) was the successful deposition of a defect free multi-layer deposition process. It could be shown that the remaining defects could almost exclusively be found on the interface between substrate and multi-layer. For this development the MBDC has first used a Lasertec M1350 inspection tool and later the newer Lasertec M7360 with a higher sensitivity. The optical defect detection technique has been compared to actinic screening at several locations for variety of programmed defect mask blanks 4 5 6.

Siemens developed for AMTC within the ABBILD project 7 two tool generations for defect screening of incoming blanks suitable for 70nm defect size on optical blanks and 40nm on EUV blanks respectively. The latter tool was installed at AMTC at the end of 2007 and is currently being qualified. Both approaches head for the detection of very small defects with a screening time of about one hour per plate on the substrate and multi-layer materials in reflected mode. At both sites blanks with programmed defects of different types have been produced to qualify the respective tools. In this paper the several aspects of the detection capability have been studied and when possible the respective results have been compared. The first part of the paper describes the new DF- 40XP inspection tool, then the designs of the programmed defect blanks will be shown, the measurements results compared and discussed. 2. THE SIEMENS DF-40XP AT AMTC The SIEMENS blank inspection system DF-40XP was especially developed for the optical inspection of EUV mask blanks. The tool provides the automatic detection of particles and blank layer defects with high resolution and precise information about the defect position. It allows the optical inspection of various materials, such as the multilayer side and the chromium-coated backside of EUV mask blanks. Even resist-coated EUV blanks can be inspected without thermal stress or exposure of the resist. In this mode the blank is automatically flipped in the tool. The performance of the inspection system is achieved by the application of a sophisticated laser scanning and detection optics, combined with a powerful signal processing hardware and software. For high throughput two sensor heads are installed, thus allowing the inspection of the entire blank within a single run. The scan time for complete scan of a 6 inch reticle is less than one hour. The blank is loaded into the system via SMIF pod and an integrated pod handler. The blank is deposited in the shuttle of the inspection unit and the automatic scanning cycle is started. Depending on the chosen inspection mode, there is a simultaneous scanning of the multilayer and the chuck side of the EUV blank or an inspection of only one blank side. For highest defect sensitivity the applied wavelength is 355nm and 405nm, respectively. The detected defects are automatically sorted to a customized number of size bins. The inspection result output contains a statistics of all defects and a map showing the particular defect types in different colors. Moreover, the displayed map can be reduced to a user-defined number of defect types and size bins. Via mouse-click detailed information about each defect is available, e.g., coordinates, size and laterals dimensions. Since the defect size is below the resolution of optical microscopes a special function is implemented to allow the visual review of defects. The so-called Scan View provides the inspection of a small area. For this, a user-defined window around a defect, which is selected in the defect map via mouse-click, is scanned. Thus, a grey-level image with high resolution of the interesting defect is achieved. 3. PROGRAMMED DEFECT BLANKS USED A variety of different programmed defect blanks have been used to either setup the inspection tools or determine their detection efficiencies for the purpose of this study. The major features of the programmed defect blanks are: 3.1 Siemens/IMS blank M0603-05 For the purpose of the DF-40XP qualification the IMS Chips in Stuttgart produced a programmed defect blank for Siemens in the framework of the ABBILD project that was used for the setup and qualification of the inspection tool. The programmed bump defects are sorted into arrays of equally spaced dots of certain heights and lateral sizes. The defect sizes vary between 50 nm and 360 nm and are framed by 4 location markers at the edges of the defect arrays. The lateral sizes and heights of the bumps have been measured with an AFM by the PTB in Braunschweig to be in the order of 10nm.

3.2 Hoya PDM/PDS blank Hoya kindly provided its programmed defect blank for the qualification of the AMTC DF-40XP during this study. The set of two blanks have programmed bump defects on the quartz substrate (PDS); one of the blanks is covered with a multi-layer stack (PDM). Defect heights vary between 2 and 4 nm, defect sizes (FWHM) between 70 nm and 1000 nm. 3.3 SEMATECH Yoshi Mask SEMATECH produced a programmed pit mask with pit depth of 2, 4 and 6 nm respectively and lateral sizes of 50, 100, and 150 nm. The arrays of identical pits are bordered by location markers (pit region). In addition programmed isolated lines with surrounding pits have been produced (isolated line and pit region) as well as a multi-line region of similar structure. 3.4 SEMATECH Bump Mask V2206-ML-PD SEMATECH also had a mask produced with bump defects between 20 and 70 nm lateral sizes spaced by 20 µm. 4. EXPERIMENTAL PROGRAM Each of these blanks has been screened at both locations for a comparison of the performance of the tools and a learning on the effectiveness of the respective designs for this purpose. 4.1 AMTC measurements The Siemens/IMS Chips mask has been used to setup the AMTC DF-40XP tool and was studies first. The tool is running with one tenth the maximum laser power possible in order to avoid thermal stress or damage of the multilayer. The maximum allowable power still has to be verified. The sensitivity of the tool was varied to determine the detection limits. Here we have adapted the measurement and filtering settings almost down to noise level. Fig. 1 shows the full scan result of the blank as seen directly on the tool in the area of the programmed defects in the center of the mask. It can easily be seen that the result is dominated by the abundant particle contamination due to prior handling (as in the case of all other blanks studied). As a comparison data for certain size cuts are shown clearly identifying the programmed defects. Design of defect mask Defects above 70 nm can be seen Blow-up of 3x11 array of 70 nm size and 10 nm height Fig 1: Defect design and images of the IMS/Siemens defect mask on the DF-40XP at AMTC The second mask studied was the SEMATECH programmed pit mask. Besides simply looking at the default defect map, areas of special interest or inadequate graphical display of defect spots have been viewed in scan view mode. Fig.2 clearly shows the programmed defects surrounded by the location markers of the mask. It can be seen that the markers cause very bright spots due to their large dimensions in comparison with the nano-scaled programmed defects. Thus, the over-shining leads to a clustering of defects. Due to the high sensitivity of the detection system this has been found to be a problem for some of the programmed defect masks studied in this paper. It has to be noted that this does not affect the overall defect sensitivity of the tool and has no relevance in practice because defects in the range of the markers will lead to reject during blank manufacturing.

pits 2 mn 50 100 150 4 nm 6 nm Fig.2: Design of the SEMATECH Yoshi mask with a scan view picture in a section of about 0.4 mm by 1 mm area. The resolution of the tool can be seen when zooming for example into the leftmost regions as seen in Fig.3. Here a 100% capture rate can be seen down to 50nm sized defects of 6nm depth and 100nm defects with 2nm. Fig.3: Zoomed view of region showing the resolution of the DF-40XP. 100% of all defects have been found for defects down to 6 nm depth and 50 nm lateral size and 2 nm depth and 100nm size. Hoya has kindly provided programmed defect masks for this study to AMTC. The masks have programmed quartz substrate defects which were looked at directly with the DF-40XP. Despite the fact that this tool only works in reflected mode and therefore is not designed for this purpose we were able to detect a large portion of these defects on Qz. In highest pixel sensitivity with a tenth of the laser power Qz defects down to 4 nm height and 160 nm lateral size on the Qz-substrate could be detected without clustering. In the scan view mode defects down to 120nm could be located on the images.

# 1 2 3 4 5 6 7 8 9 10 11 12 13 Defect width (nm FWHM) 70 80 95 105 120 140 150 160 170 180 190 200 210 Defect Height (nm) 4.0 Quartz Defect image Fig.4: Hoya Qz bump defect field on Qz with 70 to 210 nm defects. Defects were found above 160 nm. The second mask is built in a similar fashion and the defects are afterwards covered with a multi-layer mirror. The resulting programmed defects range from 70 to 1000 nm lateral size (FWHM) and heights between 1.0 nm and 3.8 nm. Fig.3 shows the detected signal of one of the subfields. # 1 2 3 4 5 6 7 8 9 10 11 12 13 Defect width (nm FWHM) Defect Height (nm) 70 80 90 95 100 110 120 140 150 160 170 190 300 1.0 2.0 2.8 3.0 3.2 3.3 3.6 3.6 3.8 3.8 3.8 3.8 3.8 Defect image Fig.5: Hoya defect field with 70 to 300nm defects. Defects were found above 95nm. With further tuning of the tool it is expected that the sensitivity in both modes can be improved in future as indicated by the image performance seen in the scan view where defects as small as 90 nm could be seen (see Fig.6). Fig. 6: Scan view image of subfields 3 to 5. In the image 100% of the 95 nm defects were found and also most of the defects in the 90 nm subfield.

The SEMATECH bump mask has been screened in a similar fashion. The defect field with the programmed defects are labelled A through K with defect sizes of 70 nm and below. Fig.7 below shows the defect sensitivity of the DF-40XP. K J I H G F E D C B A Fig 7. SEMATECH bump mask with defect sized labelled A through K. Displayed are the defects found by the automatic scanning of the DF-40XP. Down to subfield F the tool still automatically finds 100% of all defects. Here as well as in the previous cases the scan view image reveals a much higher sensitivity. When analysing the images almost 100% of the defects in column H and some in column I and even J can be seen. 4.2 Detection sensitivity and capture rates Using the automatic defect values the performance of the DF-40XP tool has been determined with respect to the sensitivity for defect sizes and their capture rates. For the analysis the data for the bump mask and the Hoya PDM will be shown here. For the Hoya masks the defects have been covered with multi-layers and the resulting sizes had been measured and given with the mask by Hoya. The capture efficiency ranges from 98% to 100% above 110 nm size and steeply drops down to values of 95 nm. As mentioned above, the sensitivity of the tool is higher during scanning, such that it can be assumed that a higher efficiency will be reached in future. PDM Blank Capture Rate 100% Capture Rate 80% 60% 40% 20% 0% 0 1 2 3 4 5 6 7 8 9 10 11 12 13 Defect Field # # Defect width (nm FWHM) 1 2 3 4 5 6 7 8 9 10 11 12 13 70 80 90 95 100 110 120 140 150 160 170 190 300 Fig 8. Capture efficiency for the Hoya PDM plate vs. field number.

For the SEMATECH bump mask in addition to the capture rate the size as measured by the DF-40XP has been analysed. With the average size per field the capture efficiency of defects on the bump mask was determined to be higher than on the Hoya mask. For 5% of the maximum laser power, a 100% capture rate was seen down to 50 nm and steeply falling off to 30% rate at 45 nm as shown in Fig.10. No size could be determined for subfield I. 100% 80% 60% 40% 20% 0% 30 35 40 45 50 55 60 65 70 Fig. 10: Capture efficiency of defects vs. measured defect size on the SEMATECH bump mask as measured on the DF-40XP 5. SEMATECH MEASUREMENTS SEMATECH has extensively studied 8 and tuned the Lasertec M7360 inspection tool for highest detection sensitivity and has gained lots of experience operating this tool. Hence the results obtained with this tool will be used as a reference to rate the performance of the DF-40XP installed at AMTC. SEMATECH has measured the programmed defect masks on the Lasertec M7360 and also measured plates with PSL particles on the same tool. From the pixel sizes determined for each of the PSL sizes a scale has been fixed for this study (for example 90nm PSL corresponds to pixel 11.5). For each of the defect columns a mean pixel size has been calculated for the programmed defects of the bump mask. Finally the equivalent PSL size can be determined for each of the columns. Please note that these equivalent PSL sizes depend on the tool and the wavelength and hence will be different for the two tools used in this study. The mean pixel count and capture efficiency vs. the calculated defects size determined in this way for the bump mask can be seen in Fig.11 below. Capture Efficiency 100% 90% 80% 70% 60% 50% 40% 30% 10 9 8 7 6 5 4 3 Mean Pixel Count 20% 2 Capture Efficiency 10% Mean Pixel Count 1 0% 0 35 40 45 50 55 60 65 70 Defect size (in PSL equivalent, nm) Fig.11: Capture rate in PSL equivalent sizes as measured by SEMATECH

The tool shows a very high performance of 100% detection efficiency at the chosen settings for PSL equivalent defect sizes down to 45 nm, then falling off down to 0% capture rate at about 35 nm. 6. COMPARISON AND DISCUSSION OF RESULTS From the data taken on the SEMATECH bump mask at the two locations a comparison of the tool sensitivities for this mask can be drawn. First we looked at the two different ways to determine the defect sizes for the columns A to K. While SEMATECH has calculated equivalent PSL sizes with respect to the pixels, AMTC and Siemens relate to the defect sizes as measured on calibrations masks for DFX tool series. As can be seen in Fig.12 the calculated sizes follow a similar trend but do deviate substantially in certain areas. Based on the defect sizes the capture rate for each of the columns has been determined as shown in Fig.13. Above 50nm defect size the two tools find very close to or exactly 100% of all these defects. Below 50 nm the Lasertec tool at SEMATECH shows a higher capture efficiency. The scan view images of the Siemens tool however indicate that this tool as well should be able to detect smaller defects. Defect Size [nm] 80 70 60 50 40 30 20 10 0 Sematech AMTC K J I H G F E D C B A Defect Field Name Capture Rate 100% 80% 60% Sematech AMTC 40% 20% 0% 30 35 40 45 50 55 60 65 70 Defect Size [nm] Fig.12: Average defect size calculated for each of the defect fields on the SEMATECH bump mask by the two different methods described. Fig.13: Comparison of capture efficiencies as measured on the SEMATECH bump mask on the Lasertec M7360 and Siemens DF-40XP for the calculated defect sizes. The Lasertec M7360 tool at this stage is still superior in detection efficiency to the newly installed DF-40XP at AMTC. The comparison of the performance of the two tools for various programmed defect masks provided an insight into the limits and hence the improvement potential of the tools and also gave valuable hints for the further development of programmed defect blanks. 7. ACKNOWLEDGEMENTS AMTC is a joint venture of Toppan Photomasks, Qimonda, and AMD Inc and together with Siemens gratefully acknowledges the financial support by the German Federal Ministry of Education and Research (BMBF) under contract number 01M3154A ( Abbildungsmethodiken für Nanoelektronische Bauelemente ABBILD). Special thanks also to Hoya Corporation for providing their programmed defect mask for better understanding of DF-40XP performance. REFERENCES 1 Proposed new standard, SEMI SNARF Blank ID, 8. Oct. 2007 2 MEDEA Project 2T302 MUSCLE Masks through user s supply chain: leadership by excellence, EMLC 2007, Grenoble 3 ITRS Roadmap 2006 Update

4 A. Barty, K.A. Goldberg, P. Kearney, S.B. Rekawa, B. LaFontaine, O. Wood, J.S. Taylor, H.S. Han, Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques, Photomask Technology 2006, Proceeding of SPIE, Vol. 6349, (2006) 5 T. Terasawa, Y. Tezuka, M. Ito, T. Tomie, High Sped Actinic EUV Mask Blank Inspection with Dark-Field Imaging, BACSU News, January 2005, Volume 21, Issue 1 6 K. Hamamoto, Y. Tanaka, T. Yoshizumi, Y. Fukushima, H. Shiotani, N. Sakaya, M. Hosoya, T. Shoki, T. Watanabe, H. Kinoshita, Pahse Defect Observation Using an EUV Microscope, Proceeding of SPIE, Vol.6151, (2006) 7 ABBILD project funded by the German Federal Ministry of Education and Research (BMBF) under contract number 01M3154A ( Abbildungsmethodiken für Nanoelektronische Bauelemente ABBILD) 8 Wonil Cho, Hak-Seung Han, Kenneth A. Goldberg, Patrick A. Kearney, Chan-Uk Jeon, Detectability and printability of EUVL-mask blank defects fort he 32-nm HP node, Photomask Technology 2007, Proceedings of SPIE, Vol. 6730, (2007)