Because Innovation Matters

Similar documents
Self-Aligned Double Patterning for 3xnm Flash Production

Advanced Display Manufacturing Technology

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Approaching Zero Etch Bias at Cr Etch Process

Readiness and Challenges of EUV Mask

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Wafer Thinning and Thru-Silicon Vias

The Transition to Patterned Media in Hard Disk Drives

Deep Silicon Etch Technology for Advanced MEMS Applications

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Nano-Imprint Lithography Infrastructure: Imprint Templates

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Overcoming Challenges in 3D NAND Volume Manufacturing

OLED Status quo and our position

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Forward-Looking Statements

SEMICONDUCTOR TECHNOLOGY -CMOS-

Auto classification and simulation of mask defects using SEM and CAD images

SEMICONDUCTOR TECHNOLOGY -CMOS-

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Microwave Backhaul Solutions SUPER HIGH PERFORMANCE ANTENNAS. Not just a new backhaul solution a whole new backhaul strategy

Company Overview. September MICROVISION, INC. ALL RIGHTS RESERVED.

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

International Workshop, Electrical Enduse Efficiency, 5th March Residential electricity consumption

Forward-Looking Statements

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Sharif University of Technology. SoC: Introduction

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Review Report of The SACLA Detector Meeting

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

*Amounts less than 100 million yen shown in this presentation material have been rounded down. Copyright 2011 SHARP CORPORATION, All Rights Reserved.

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

OPTICAL POWER METER WITH SMART DETECTOR HEAD

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

HB LEDs & OLEDs. Complete thin film process solutions

24. Scaling, Economics, SOI Technology

HOW TO DELIVER OMNICHANNEL CUSTOMER ENGAGEMENT, TODAY! , Genesys Telecommunications Laboratories, Inc. All rights reserved.

AMERICAN NATIONAL STANDARD

The PHI VersaProbe operates with two essential software programs: PHI Summitt and Vacuum Watcher. A third program, MultiPak, handles data reduction.

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

Digital Light Processing

The Emergence of LCD TV and its Impact on Glass. James B. Flaws Vice Chairman and Chief Financial Officer

Multi-Shaped E-Beam Technology for Mask Writing

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

DIRECT DRIVE ROTARY TABLES SRT SERIES

InvenSense Fabless Model for the MEMS Industry

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Layout Analysis Analog Block

Milestone Leverages Intel Processors with Intel Quick Sync Video to Create Breakthrough Capabilities for Video Surveillance and Monitoring

Interface Practices Subcommittee SCTE STANDARD SCTE Test Method for Drop Cable Center Conductor Bond to Dielectric

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Agilent 81600B Tunable Laser Source Family Technical Specifications August New model: nm, low SSE output!

Self Restoring Logic (SRL) Cell Targets Space Application Designs

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Facedown Terminations Improve Ripple Current Capability

Why Use the Cypress PSoC?

SES ASTRA. DLR / ESA ARTES XI Workshop June 2006, Rottach-Egern 2006/06/29 SES ASTRA PROPRIETARY

Hardware Design I Chap. 5 Memory elements

2016, Amkor Technology, Inc.

MMI: A General Narrow Interface for Memory Devices

Action07 Mid-range Business Plan

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Agilent 81600B Tunable Laser Source Family

ENGINEERING COMMITTEE

!Ill ~ 168. Model490 Dual Input, Dual Trace Automatic Peak Power Meter

Standard Operating Manual

The Flat Panel Display Paradigm: Successful Implementation of Microelectronic Processes on Gigantic Wafers

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

AIXTRON in EXCILIGHT project

Connection for filtered air

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

MARKET OUTPERFORMERS CELERITAS INVESTMENTS

Spatial Light Modulators XY Series

High ResolutionCross Strip Anodes for Photon Counting detectors

The future of microled displays using nextgeneration

Failure Analysis Technology for Advanced Devices

APM CALIBRATION PROCEDURE Rev. A June 3, 2015

ENGINEERING COMMITTEE

Opti Max Nodes Digital Return System

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Challenges in the design of a RGB LED display for indoor applications

2016, Amkor Technology, Inc.

Transcription:

Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010

Safe Harbor This presentation contains forward-looking statements, including those regarding the Applied Silicon Systems Group s performance, strategic positions, products and opportunities. These statements are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements, including but not limited to: the level of demand for Applied s products, which is subject to many factors, including: uncertain global economic and industry conditions, business and consumer spending, demand for electronic products and semiconductors, and customers utilization rates and new technology and capacity requirements; Applied s ability to (i) develop, deliver and support a broad range of products, expand its markets and develop new markets, (ii) align its cost structure with business conditions, (iii) plan and manage its resources and production capability, (iv) implement initiatives that enhance global operations and efficiencies, (v) maintain effective internal controls and procedures, (vi) obtain and protect intellectual property rights in key technologies, and (vii) attract, motivate and retain key employees; risks related to legal proceedings and claims; and other risks described in Applied s SEC filings. All forward-looking statements are based on management s estimates, projections and assumptions as of November 30, 2010, and Applied undertakes no obligation to update any forward-looking statements..

Silicon Systems Group Overview #1 in the WFE and advanced packaging markets In 2010, expect increased market share in each SSG business In 2011, expect to grow WFE market share for the 3 rd consecutive year Growth fueled by new product innovations aligned to technology inflections Source: Gartner, April 2010 3

Innovations Enable Inflections ADVANCED PATTERNING WAFER-LEVEL PACKAGING TRANSISTOR INTERCONNECT Centris Etch Tetra X Silvia Etch Eterna FCVD Aera3 Avila CVD Avenir PVD/ALD Mesa Etch InVia CVD Siconi for Epi Centinel PVD UVision 4 Brightfield Raider-S ECD Astra DSA Anneal Reflexion GT CMP Accelerating innovations 15 new products in 15 months 4

Applied Materials Conductor Etch Innovations Ellie Yieh VP and GM, Etch Business Unit Semicon Japan November 30, 2010

Conductor Etch is Fastest Growing Etch Segment Conductor etch ~ $1.6B market in 2010 New steps in advanced transistors, double patterning and advanced packaging driving growth Applied already leads in advanced DRAM transistor critical etch Source: Gartner, Sept 2010 6

Innovations Enable Inflections ADVANCED PATTERNING WAFER-LEVEL PACKAGING TRANSISTOR INTERCONNECT Centris Etch Tetra X Silvia Etch Eterna FCVD Aera3 Avila CVD Avenir PVD/ALD Mesa Etch InVia CVD Siconi for Epi Centinel PVD UVision 4 Brightfield Raider-S ECD Astra DSA Anneal Reflexion GT CMP Accelerating innovations 15 new products in 15 months 7

Applied s Conductor Etch Competitive Position VERTICAL SCALING Leading in Advanced DRAM (BBL/BWL architectures) HORIZONTAL SCALING Expanding Positions in Double Patterning NAND, Foundry, Logic and DRAM Requires <1 % Depth Non-Uniformity Requires <1 nm, 3σ CD Non-Uniformity 8

Gap in Litho Roadmap is Etch Opportunity 10 Above Wavelength Near Wavelength Below Wavelength Double Patterning Resolution (µm) 1 0. 1 2 1.5 g-line λ=436nm 1 0.6 0.5 0.35 i-line λ=365nm DUV λ=248nm 0.25 0.18 0.13 0.09 Hard Mask Insertion 193 (dry) λ=193nm 0.065 0.045 193 (immersion) λ=193nm 0.01 1980 1990 2000 2010 2012F Year of Production 0.032 Lithography Gap 0.022 Hard masks and double patterning enable below-wavelength patterning Sources: 2010 ITRS Roadmap, Applied Materials 9

Adding up the CD Variation Logic / Foundry Double Patterning Scheme L 1 = First litho CD variation E 2 = First hard mask etch CD variation L 3 + L 4 = Second litho CD + overlay variation E 5 = Second hard mask etch CD variation Yield impacted by CD variation: (L 1, E 2, L 3, L 4, E 5 ) Tighter etch CD uniformity can give wider process window for litho 10

How Precise is the Etched Critical Dimension? Red Blood Cells Etched CD variation range of 0.8 nm: 10,000X smaller than a red blood cell diameter, matched over thousands of wafers 11

Patterning Etch Steps Growing +6 steps NAND 4X nm NAND 2X nm +10 steps Logic 45 nm Logic 22 nm +10 steps DRAM 4X nm DRAM 2X nm Source: Applied Materials estimate Added steps increase process cost for customers 12

Relentless Cost-per-Bit Reduction $ / MB F F Source: Gartner, Applied Materials Cost reduction is key for customers 13

Applied s Etch Leadership in Productivity and Technology Improved CD Control Traditional Platform Centris Platform Lower Cost-Per-Wafer (normalized) 30% lower cost-per-wafer with leading critical etch performance 14

Applied s Centris Platform: Performance Worth Repeating Combines industry-leading Mesa chambers with high-productivity Centris platform 15

Building a Productivity Powerhouse with Centris 6 Mesa Chambers Dual- Blade Robot En-Route Abatement Four- FOUP Interface Optimized Gas Panel

Seijo En-Route Abatement Doubles the Number of Etch Chambers 3 Etch Chambers Traditional Platform Centris Platform 6 Etch Chambers Abatement Chamber 4 process chambers total 2 Seijo Abatement Chambers 8 process chambers total

AdvantEdge Mesa Chamber: Angstrom-level Precise Etch Mesa Source <1nm CD Uniformity <1% Etch Depth Uniformity Pulsync Extending Silicon Etch Memory leadership 1 st ultra-flat uniformity ICP* etcher enabling 3xnm node Rapid adoption with >200 chambers in 9 months Source Bias Pulse Pulse * Inductively Coupled Plasma Advanced plasma control Microloading benefit 18

Mesa Source: Breakthrough Etch Rate Uniformity Traditional Mesa Limited Electrical Field Tunability Electrical Field Decoupled and Tunable Limited Uniformity Improved Uniformity Breakthrough Mesa source delivers ultra-flat etch rate map 19

Mesa Pulsync Mitigates Microloading Challenge: Bi-Modal Trench Depth Distribution with Spacer Double Patterning SEVERE LOADING No Pulsing MINIMAL LOADING With Pulsync Mesa s Pulsync technology minimizes micro-loading in etch depth SEM image used with customer permission 20

Centris Enables the Same CD Every Time 6 Mesa Chambers, Auto- Calibrated to Same Standard Central Reference Standards Auto- Calibration Management Software E3 software identifies excursions

Improved Process Parameter Repeatability via Auto-Calibration OLD CONTROL LIMIT Base Pressure NEW CONTROL LIMIT Ch A Ch B Ch C Ch D Ch E Ch F Autocalibration off Autocalibration on Time (days) 22 Patent Applied For

Centris Etch Matching of 4,000 Wafers 43.0 Post-Process CD (nm) 42.0 41.0 40.0 39.0 Upper Spec Limit Lower Spec Limit 38.0 0 250 500 750 1000 Number of wafers Chamber matching demonstrated at 0.8nm range across 4,000 wafers 23

Device Yield Benefit from Tighter Controls Traditional Platform Centris Platform PRODUCT PERFORMANCE PROCESS PERFORMANCE Device Yield Device Yield 24

Capital Productivity Benefit for Customers NAND 2Xnm at 250K WSPM, One Etch Application 3 ETCH, 1 ABATEMENT PLATFORM 6 ETCH, 2 EN-ROUTE ABATEMENT PLATFORM Unique 8-chamber architecture enables best-in-class productivity

Green Benefits of Lower Facilitization Costs ~35% improvement in energy savings from standard etchers One Platform saves an Olympicsize swimming pool of water per year Lower CO 2 emissions per Platform: equivalent to taking 50 cars per year off the road Green benefits contribute one-third of cost-per-wafer savings Assumes SEMI S23 standard calculations 26

Applied s Conductor Etch Platforms are Well Positioned at Leading Customers AdvantEdge Chamber AdvantEdge Mesa Chamber Centris Platform >1500 chambers >200 chambers in first 9 months 5 customers; includes entry into 2 new customers 27

Applied s Centris Platform: Performance Worth Repeating Precise Intelligent Productive Tightest CD uniformity Tightest depth uniformity on each wafer Auto-calibrating Industry-leading at 30% lower cost-per-wafer 28