High performance and Low power FIR Filter Design Based on Sharing Multiplication

Similar documents
RECENT advances in mobile computing and multimedia

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

An FPGA Implementation of Shift Register Using Pulsed Latches

Lecture 21: Sequential Circuits. Review: Timing Definitions

EE-382M VLSI II FLIP-FLOPS

A Low-Power CMOS Flip-Flop for High Performance Processors

A Power Efficient Flip Flop by using 90nm Technology

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

ECE321 Electronics I

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Reduction of Area and Power of Shift Register Using Pulsed Latches

Memory efficient Distributed architecture LUT Design using Unified Architecture

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

II. ANALYSIS I. INTRODUCTION

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

An efficient Sense amplifier based Flip-Flop design

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Comparative study on low-power high-performance standard-cell flip-flops

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LFSR Counter Implementation in CMOS VLSI

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Shift Register Using Pulse Triggered Flip Flop

Load-Sensitive Flip-Flop Characterization

P.Akila 1. P a g e 60

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Digital System Clocking: High-Performance and Low-Power Aspects

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

ALONG with the progressive device scaling, semiconductor

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Pulsed Flip-Flop with Dual Dynamic Node for Low Power using Embedded Logic

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

Current Mode Double Edge Triggered Flip Flop with Enable

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

ISSN Vol.08,Issue.24, December-2016, Pages:

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Power Reduction Techniques for a Spread Spectrum Based Correlator

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

11. Sequential Elements

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

A Symmetric Differential Clock Generator for Bit-Serial Hardware

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 ISSN

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Project 6: Latches and flip-flops

A Noble Design of Energy Recovery Flip-Flops

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Designing Fir Filter Using Modified Look up Table Multiplier

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Sequential Logic. References:

Retiming Sequential Circuits for Low Power

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Power Optimization by Using Multi-Bit Flip-Flops

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Topic 8. Sequential Circuits 1

Low Power D Flip Flop Using Static Pass Transistor Logic

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Computer Architecture and Organization

A Low Power Delay Buffer Using Gated Driver Tree

Transcription:

High performance and Low power FIR Filter esign Based on Sharing Multiplication Jongsun Park, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 7906, USA +1-765-9-3372 {jongsun, jeongw, chooh, mahoodi, yw, kaushik} @ecn.purdue.edu ABSTRACT We present a high performance and low power FIR filter design, which is based on computation sharing multiplier (CSHM). CSHM specifically targets computation re-use in vector-scalar products and is effectively used in our FIR filter design. Efficient circuit level techniques: a new carry select adder and conditional capture flip-flop (CCFF), are also used to further improve power and performance. The proposed FIR filter architecture was implemented in 0.25 m technology. Experimental results on a 10 tap low pass CSHM FIR filter show speed and power improvement of 19% and 17%, respectively, with respect to an FIR filter based on Wallace tree multiplier. Keywords Computation sharing, FIR filter design, high performance and low power carry select adder, conditional capture flip-flop 1. INTROUCTION Recent advances in mobile computing and multimedia applications demand high-performance and low-power VLSI igital Signal Processing (SP) systems. One of the most widely used operations in SP is finite impulse response (FIR) filtering. As shown in the equation below, FIR filtering operation involves an inner product of coefficient vector C with input signals x. y ( n ) M 1 k 0 x ( n Several techniques have been proposed in literature to achieve high performance and low power implementation of FIR filters with fixed coefficients. Canonical-sign-digit [] and distributed arithmetic [5] are widely used in the FIR filter design with fixed coefficients. Using those techniques, the FIR filtering operation can be simplified to add and shift operations. However, for FIR filter design with programmable coefficients, dedicated multipliers are usually used and filter design techniques mentioned may not be applicable. In this research we propose high-performance and low-power implementation for FIR filter with programmable coefficients. c k k ) The FIR filter architecture is based on the Computation sharing multiplier (CSHM) [1, 2], which targets the reduction of redundant computations in FIR filtering operation. We also present the circuit level techniques for carry select adder and flip flop, which are effectively used in the FIR filter implementation. In the CSHM structure, adders are critical for high performance. In order to achieve high performance with low power consumption, a new Carry is presented. Flip-flops are also crucial elements from both a delay and power standpoint. Conditional capture flip-flop (CCFF) [11] is explained and used in our filter design. CCFF is a dynamic style flip-flop that has a negative set-up time and small clock-to-output delay. Moreover, depending on data switching activity, CCFF can statistically reduce the power consumption. The rest of this paper is organized in five sections. Section 2 describes the architecture of FIR filter based on the CSHM. Section 3 presents the circuit level techniques. The new carry select adder and conditional capture flip-flop (CCFF) are presented in this section. We present FIR filter implementation in section and section 5 shows the numerical results. Finally, conclusions are drawn in section 6. 2. FIR FILTER ARCHITECTURE 2.1. CSHM Algorithm and architecture The transposed direct form (TF) FIR filter is shown in figure 1. We notice that the TF implements a product of the coefficient vector C = [c 0, c 1,,c M-1 ] with the scalar x(n) at time n. The input x(n) is multiplied by all the coefficients c 0, c 1, c 2,, c M-1 simultaneously. In the sequel, such products will be referred to as a vector scaling operation [2]. (n) Y(n) C 0 C 1 C 2 C M 3 C M 2 C M Figure1: Transposed direct form (TF) FIR filter. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. ISLPE 02, August 12-1, 2002, Monterey, California, USA. Copyright 2000 ACM 1-58113-75-/02/0008 $5.00.

Input 1 (1 ) 11 (3 ) 101 (5 ) 111 (7 ) 1001 (9 ) 1011 (11 ) 1101 (13 ) 1111 (15 ) Bank Of PRECOMPUTERS MU (8:1) 1x ISHIFTER 10 shift 000 select SHIFTER 0100 > 01 0100 SELECT UNIT C <0:3> AN gate 1000x shift by 17 Bank of Precomputer C <0 3> C < 7> Shift s & s Final C C = 11100100 MU (8:1) 111x ISHIFTER 01 shift AN gate 1110x Final 11100100 x C <81> Example 11100100 x Upper: 0100x = 1x>>2 Lower: 1110x = 111x >>1 011 select SHIFTER 1110 > 111 1110 C <:7> SELECT UNIT product C C <125> C <16> Sign bit Figure 3: 17 17 CSHM structure. Figure 2: Computation sharing multiplier (CSHM) architecture In the vector scaling operations, we can carefully select a set of small bit sequences so that the same multiplication result can be obtained by only add and shift operations. For instance, a simple vector scaling operation [c 0, c 1 ] x, c 0 = 00110111, c 1 = 10001011, can be decomposed as c 0 x = 2 (0011) x + (0111) x, c 1 x = 2 7 (0001) x + (1011) x. If x, (0011) x, (0111) x and (1011) x are available, the entire multiplication process is reduced to a few add and shift operations. We refer to these chosen basic bit sequences as alphabets. Also, an alphabet set is a set of alphabets that spans all the coefficients in vector C. In the above example, the alphabet set is {0001, 0011, 0111, 1011}. Figure 2 shows CSHM architecture based on the algorithm explained above. CSHM is composed of a precomputer, units and final adders (). The precomputer performs the multiplication of alphabets with input x. The unit and final adder () perform appropriate select/shift and add operations required to obtain the multiplication output. In order to cover every possible coefficient and perform general multiplication operation, we used 8 alphabets {1,3,5,7,9,11,13,15}. Figure 3 shows an example 17 17 CSHM, which is used in our FIR filter implementation. 2.2 FIR filter based on CSHM Architecture In the transposed direct form (TF) FIR filter shown in figure 1, CSHM algorithm is effectively used to reduce computations. As shown in figure, The FIR filter using CSHM consists of one precomputer and M units and Final adders () s, where M represents the number of taps in FIR filter. We can easily notice from the figure that the precomputer outputs are shared by all the s. In other words, the computations k x, k = 0, 1, 2.8, are performed only once for all k's and these values are shared by all the units for generating c k x, i=0, 1, 2, 3,. The CSHM scheme efficiently removes the redundant computation in FIR filtering operation, which leads to low power and high performance design. 3. CIRCUIT LEVEL TECHNIUES 3.1 High performance low power Carry As shown in figure 3, the final adder, which merges four vectors from units, is the critical component in terms of performance. A new Carry, which can be efficiently used in the final adder implementation, is proposed in this subsection. The Carry used in our design has good noise-immunity and achieves high performance with low power consumption. The architecture is similar to a Carry using TSL (ual Transition Skewed Logic), where dual paths are used carry propagation one path is used for fast propagation of rising (n) Precomputer Bank C 0 C 1 C M 2 C M s & Figure : The architecture of FIR filter based on CSHM.

A0 B0 C0_T C1_T C2_T. A0 B0 S0_T S0_B A1 B1 A1 B1 S1_T S1_B A1 B1 MN1 MP1 MP1 A2 B2 MN1 A2 B2 S2_T S2_B A2 B2 MN2 MP2 MP2 MN2 An Bn An Bn Sn_T Sn _B An Bn A0 B0 C0_B Y C1_B C2_B. Figure 5: Block diagram of the Carry used in the FIR filter. transition, while the other path is used for fast propagation of falling transition [6]. The Carry used for our FIR filter implementation has the same architecture as the conventional TSL Carry [6], however, a simplification has been applied that the skewed carry propagation logic circuits have been replaced with static CMOS inverters. This simplification reduces the complexity of carry propagation paths, and therefore, makes the control logic circuitry simpler and improves performance as compared to the conventional TSL Carry. Moreover, the power consumption of this new Carry are comparable to those of its static CMOS counterpart because of the simple carry propagation paths of proposed Carry. Figure 5 shows the implementation of the Carry used in our FIR filter. It consists of two data paths for carry propagation, logic for generating SUM, and control logic. Control logic consists of transmission gates (, Y) between each inverter for carry propagation on the data path, switching transistors (MN, MP), and some static CMOS gates to control the transmission gates and switching transistors. The logic in the circle is for generating SUM. As shown in Figure 5, the carry propagation logic of each block of Carry has two data paths: one has 0 as its CARRY input and the other has 1 as its CARRY input. If inputs Ai s are different from Bi's (Ai Bi, for all i = 0 to n), transmission gates, Y will turn on and the switching transistors (MNi, MPi) will be disabled. Carry-out of the first stage will propagate to the last stage. Therefore, the carry propagation delay is the largest under such condition. Under such inputs the Carry-outs will be inversions of Carry-ins for every stage because each Carry-in goes through one inverter and one transmission gate. However, if any Ai is equal to Bi at Stage i (i = 0 to n), the Carry-outs on both paths from that stage to the last stage (i ~ n) will be the same, and determined only by inputs Ai and Bi regardless of Carry-outs of the previous stage. This means that the carry propagation starts simultaneously at the first stage and the ith stage. Hence, in this case, the propagation delay of Carry is the same as one of the carry propagation delays from the first stage to (i-1)th stage and from ith stage to the last stage. Then, we have to switch Carry-in of the next stage ([i+1]th) to low or high depending on the value of Ai and Bi. For example, let us assume A1=B1=0 at Stage 1, then the outputs of AN and OR gates in the control logic of this stage will be 0, and PMOS switching transistors (MP1) will turn on. Therefore, the Carry-out (C1_T, C1_B) at that stage will be low regardless of Carry-in (C0_T, C0_B) of Stage 1. Hence, we do not need to wait for the Carry-in to propagate to the output node of Stage 1, i.e. when inputs A1, B1 of Stage 1 are set, we can switch Carry-in of the next stage (Stage 2) immediately to low after turning off the transmission gates on the data path. Similarly, if A1=B1=1 at Stage 1, then we change Carry-in of the next stage (Stage 2) to high. For such cases, the total propagation delay will be shorter than the total delay of the previous case (Ai Bi, for all i = 0 to n) because the time taken to switch Carry-in of the next stage (Stage 2) is shorter than the time in which Carry-in of the first stage (Stage 0) propagates to the Carry-in node of the Stage 2 having A2, B2 as inputs. In Stage 2, NAN and NOR gates are used instead of AN and OR. The operation is similar to that of the previous stage. 3.2 Flip Flop esign Traditionally, Transmission-Gate Flip-Flop (TGFF) has been used in standard cell design [7]. TGFF has a fully static master-slave structure by cascading two identical pass-gate latches and provide a short clock-to-output latency. However, it has a poor data-to-output latency because of positive set-up time. It also requires two phases of clock that can cause a problem with data feed-through when there is a skew between them and it has a relatively large clock load. Considering the fact that in critical paths the flip-flop delay is the sum of set-up time and clock-to-output delay, dynamic latches have less total delay than master-slave latch pairs, which are fully static. Examples are hybrid latch flip-flop (HLFF) [8], semi-dynamic flip-flop (SFF) [9], and sense amplifier based flip-flop (SAFF) [10]. They can also provide advantages such as absorbing the clock skew, reducing the clock load, and embedding logic functions into themselves. However, they are inefficient as far as power consumption is concerned. This is because of the fact that in moderate and low data switching rate these flip-flops can have unnecessary internal transitions that can lead to substantial increase in total power consumption. Conditional capture flip-flop (CCFF) [11], figure 6, removes this problem by addition of the internal clock gating. In this way, CCFF achieves statistical power reduction by eliminating redundant transitions of internal nodes while maintaining soft clock edge and negative setup time properties.

V dd 3 3 ( 10(<<1)+ ) CKB CK B 5 7 5 ( 100(<<2)+ ) 7 ( 1000(<<3) ) 9 9 ( 1000(<<3)+ ) CKB 11 11 ( 1000(<<3)+10(<<1)+ ) 13 13 ( 1000(<<3)+100(<<2)+ ) Figure 6. Conditional Capture Flip-Flop CCFF is used in our FIR filter implementation. The overall performance and power consumptions of designed TGFF, HLFF, and CCFF for different input patterns were simulated in TSMC 0.25um CMOS technology. The power consumption of CCFF has a large dependency on input pattern. CCFF can save 65% power with zero inputs switching activity as compared to the HLFF. When input changes at every other cycle, the power saving is nearly 1%. When the input changes at every cycle or the input switching activity is the maximum, which is very rare, the overall power consumption is comparable to the conventional designs.. FIR FILTER IMPLEMENTATION.1. CSHM Implementation A 17 17 CSHM is implemented using 0.25 m TSMC library. As shown in figure 2, the CSHM is composed of precomputer,. In our CSHM implementation, the input is two s complement and coefficient C has a sign and magnitude format. Precomputer: The multiplications, 1x, 3x, 5x, 7x, 9x, 11x, 13x, 15x, performed by the precomputer are simply implemented using the new Carry, which is mentioned in section 3.1. Figure 7 shows the basic structures of 5x and 11x and figure 8 shows the whole precomputer structure. 15 15 ( 10000(<<) ) Figure 8: The precomputer structure : As shown in figure 2, unit is composed of SHIFTER, MU, ISHIFTER and AN gates. Since SHIFTER is directly connected to the coefficients, it does not lie on the critical path. Static CMOS design with minimum size is used for SHIFTER implementation. ISHIFTER lies on the critical path and the maximal shift width is 3 bits. A barrel shifter [3] is used since signal has to pass through at most one transmission gate in the barrel shifter. MU using pass transistor logic was implemented to achieve a compact and high-speed design. Final : The final adder is the largest component in the, which sums the outputs of four units. Carry save array [3] and the new Carry proposed in section 3.1 are used for high performance as shown in figure 9. The OR gate array in the middle is used for the two s complement data format. A B C Carry save OR Gates Array (n) () (3) (2) (1) (0) 29 29 5 Carry Carry select (a) 5 (0101) = 100(<<2) + 1 SUM <32> ~ <> SUM <3> ~ <0> 8 (n) (n 2) (n 3) (n ) (1) (0) (n) (n 2) (n 3) (3) (2) (1) (0) 2 (n) (n 2) () (3) (2) (1) (0) Figure 9: Final adder architecture 11 Carry (b) 11 (1011) = 8 (1000) + 2 (10) + Figure 7 : Precomputer (5x, 11x) architecture..2.fir filter Implementation The 10 tap FIR filter using CSHM is implemented for fabrication. The structure of FIR filter is shown in figure. As mentioned before, the precomputer outputs are performed only once and shared by 10, which leads to low power design. The clock network in FIR filter has been implemented using an H-tree structure. Figure 10 shows the timing of the critical path and the clock network. Path 2 is the critical path of the

design and its delay is almost twice the delay of path 1 and path 3. Therefore, pipeline stages are unbalanced in terms of delay. Insertion of more pipeline stages was not possible because of the overhead of latching a large number of internal signals in the select/shift and add unit. Time borrowing and slack passing are powerful methods for improving performance in unbalanced pipeline stages [12]. Since the delay of path 3 is much less than the critical path delay, path 2, by applying a negative clock skew some time can be borrowed from path 3 to path 2 as shown in the timing waveforms of figure 10. The minimum clock cycle can be expressed as t cycle t t t clk to critical skew. Therefore, by using a negative clock skew, the clock cycle time can be reduced. Since flip-flops used in our design are CCFF s having a negative setup time, setup time does not contribute to the cycle time. CLOCK Path1 Precomputer RV 1 2 3 RV RV elay elements for negative skew tclk-to- 1 tcycle tcritical Figure 10: Clock network and timing of critical path Figure 11 shows the layout of the FIR filter design. Floorplaning was done to minimize the total interconnect lengths especially for global signals. The layout was sent for fabrication to MOSIS. We also implemented the 10 tap FIR filters using Wallace tree multiplier (WTM) and Carry save array multiplier (CSAM) for comparison. A 5:3 compressor was used in the WTM. 5. NUMERICAL RESULTS Table 1 shows the clock cycle and power of the FIR filters using different multipliers. As shown in the table, FIR filter using CSHM has 19% and 3% performance improvement over FIR filter using WTM and CSAM, respectively. In terms of power consumption, CSHM scheme has 17% and 20% improvement with respect to FIR filter based on WTM and CSAM. The power results shown in table 1 are measured with the uniform clock cycle of 10ns. /Shift and tskew time borrowed 2 3 Path3 Path2 Critical path Clearly, FIR filter using CSHM has one more pipeline stage than FIR filter based on WTM and CSAM. The performance of FIR filter using WTM and CSAM can be improved by adding additional pipeline stage. However, due to the tree structure of WTM and carry save array of CSAM, the number of flip-flops required to add additional pipeline stage is quite large. Moreover, as the number of filter taps increases, the increase in the number of flip-flops for additional pipeline stage will become significantly large. In the CSHM architecture, since precomputer outputs are shared by all the S&A s, we can add additional pipeline stages without incurring large latch overhead. The CSHM architecture has performance and power advantage through the additional pipelining and the sharing of the precomputer outputs by all the S&A s, respectively. Clock cycle [ns] Table 1: Numerical results FIR using FIR using SHM WTM FIR using CSAM 5.7 7.0 10 Power [mw] 286.6 3.3 357.1 Area [ m 2 ] 5.0 10 6. 10 6.1 10 6 6. CONCLUSION An FIR filter based on CSHM is implemented using 0.25 m technology. The CSHM algorithm specifically targets reduction of redundant computation in FIR filtering operation. Using the CSHM scheme, the multiplications in vector scaling operation is significantly simplified to add and shift operations of alphabets multiplied by input x. These common computations are shared by the sequence of operations in vector scaling operations. s and flip-flops are critical components in CSHM and FIR filter implementation. Circuit level techniques for adder and flip-flop are proposed and used in the full custom FIR filter implementation. CSHM scheme and circuit level techniques helped us achieve low power and high performance FIR filtering operation. The proposed CSHM architecture is also applicable to adaptive filter and matrix multiplication implementation. The idea presented in this paper will help the design of SP algorithms and their implementation for high performance and low power applications. 7. REFERENCES [1] K. Muhammad, Algorithmic and Architectural Techniques for Low Power igital Signal Processing, Ph.. thesis, Purdue University, 1999. [2] J. Park, H. Choo, K. Muhammad, K. Roy, Non adaptive and Adaptive filter implementation based on sharing multiplication, ICASSP, June 2000. [3] Jan M. Rabaey, igital Integrated Circuits : A esign Perspective, Prentice Hall, New Jersey, 1996. [] H. Samueli, An improved Search Algorithm for the esign of Multiplierless FIR filter with Powers-of-Two Coefficients, IEEE Trans. On circuits and systems, Vol. 36, No. 7, pp. 10-107, Jul. 1989.

[5] S. White, Applications of istributed Arithmetic to igital Signal Processing: A Tutorial Review, IEEE ASSP Magazine, July 1989, pp. 19. [6] W. Jeong, K, Roy, and C. Koh. High-Performance Low- Power Carry using ual Transition Skwed Logic, ESSCIRC, 2001 [7] G. Gerosa et al., A 2.2 W 80 MHz superscalar RISC microprocessor, IEEE J. Solid-State Circuits, vol. 29, pp. 10-152, ec. 199 [8] H. Partovi et al., Flow-through latch and edge-triggered flip-flop hybrid elements, in Int. Solid-State Circuits Conference, ig. of Tech. Papers, Feb. 1996, pp. 138-139 [9] F. Klass, Semi-dynamic and dynamic flip-flops with embedded logic, in Symp. on VLSI Circuits, ig. of Tech. Papers, June 1998, pp. 108-109. [10] B. Nikolic et al., Sense amplifier-based flip-flop, in Int. Solid-State Circuits Conf., ig. of Tech. Papers, Feb. 1999, pp. 282-283 [11] B. S. Kong et al., Conditional Capture Flip-Flop for Statistical Power Reduction, in ISSCC ig. Tech. Papers, pp. 290-291, Feb. 2000 [12] H. Partovi, Clocked storage elements, in Chandrakasan, A., Bowhill, W.J., and Fox, F. (eds.). esign of High-Performance Microprocessor Circuits. IEEE Press, Piscataway NJ, 2000, 207-23. Pre precomputer - /Shift && s s + + FF s s and FF FF /Shift A & & Figure11: Layout of FIR filter using CSHM