IE1204 Digital Design L1 : Course Overview. Introduction to Digital Technology. Binary Numbers

Similar documents
COE328 Course Outline. Fall 2007

WELCOME. ECE 2030: Introduction to Computer Engineering* Richard M. Dansereau Copyright by R.M. Dansereau,

Digital Integrated Circuits EECS 312

Contents Circuits... 1

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

COMP2611: Computer Organization. Introduction to Digital Logic

Sharif University of Technology. SoC: Introduction

COMP12111: Fundamentals of Computer Engineering

Administrative issues. Sequential logic

PLTW Engineering Digital Electronics Course Outline

WINTER 15 EXAMINATION Model Answer

Digital Electronics Course Outline

Combinational / Sequential Logic

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

North Shore Community College

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 2 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Introduction and Application Areas

Digital Systems Principles and Applications. Chapter 1 Objectives

AM AM AM AM PM PM PM

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST

Lecture 1: Introduction to Digital Logic Design. CK Cheng CSE Dept. UC San Diego

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Chapter 4. Logic Design

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

CS61C : Machine Structures

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Review C program: foo.c Compiler Assembly program: foo.s Assembler Object(mach lang module): foo.o. Lecture #14

Sequential Logic. Introduction to Computer Yung-Yu Chuang

DIGITAL CIRCUIT COMBINATORIAL LOGIC

VLSI Design Digital Systems and VLSI

Computer Systems Architecture

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

CHAPTER 4: Logic Circuits

EXPERIMENT #6 DIGITAL BASICS

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Synchronous Sequential Logic

WINTER 14 EXAMINATION

CS302 - Digital Logic & Design

CSE221- Logic Design, Spring 2003

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

TYPICAL QUESTIONS & ANSWERS

Introduction to Digital Logic Missouri S&T University CPE 2210 Introduction and Application Areas

VLSI Digital Signal Processing

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits

Sequential Logic. Analysis and Synthesis. Joseph Cavahagh Santa Clara University. r & Francis. TaylonSi Francis Group. , Boca.Raton London New York \

Chapter 3. Boolean Algebra and Digital Logic

HS Digital Electronics Pre-Engineering

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

Digital Circuits. Innovation Fellows Program

CS 61C: Great Ideas in Computer Architecture

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ELEN Electronique numérique

DIGITAL FUNDAMENTALS

CHAPTER 4 RESULTS & DISCUSSION

Notes on Digital Circuits

COMPUTER ENGINEERING PROGRAM

Logic. Andrew Mark Allen March 4, 2012

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

DIGITAL ELECTRONICS MCQs

1. Convert the decimal number to binary, octal, and hexadecimal.

Chapter 5 Sequential Circuits

CHAPTER 4: Logic Circuits

Microprocessor Design

Digital Signal Processing

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

Laboratory 9 Digital Circuits: Flip Flops, One-Shot, Shift Register, Ripple Counter

Design Project: Designing a Viterbi Decoder (PART I)

Introduction to Microprocessor & Digital Logic

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

THE KENYA POLYTECHNIC

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

Topics. Microelectronics Revolution. Digital Circuits Part 1 Logic Gates. Introductory Medical Device Prototyping

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Combinational vs Sequential

L11/12: Reconfigurable Logic Architectures

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Chapter 5 Flip-Flops and Related Devices

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Minnesota State College Southeast

EE292: Fundamentals of ECE

Note 5. Digital Electronic Devices

L12: Reconfigurable Logic Architectures

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

Slide Set 7. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Experiment # 12. Traffic Light Controller

Digital Audio Design Validation and Debugging Using PGY-I2C

FPGA Design with VHDL

Data Conversion and Lab (17.368) Fall Lecture Outline

Transcription:

IE204 Digital Design L : Course Overview. Introduction to Digital Technology. Binary Numbers Elena Dubrova KTH/ICT/ES dubrova@kth.se

Lecturer Elena Dubrova School of Information and Communication Technology (ICT) Department of Electronic Systems (ES) dubrova@kth.se http://people.kth.se/~dubrova Course responsivble: William Sandqvist william@kth.se IE204 Digital Design, HT 204 2

Course assistants Masoumeh Ebrahimi lectures (0-4) Syed Jafri exercises IE204 Digital Design, HT 204 3

About Elena Dubrova Professor at the School of ICT in Kista, Department of Electronic Systems Research area: Electronic Systems Design Teaches the following courses at KTH: IE204 Digital Design (P) IL2209 Advanced Logic Design (P2) ID228 Design of Fault-Tolerant Systems (P4) FIL300 The Art of Doctoral Research (P-P4) Hardware Security (new, starts 207-208) IE204 Digital Design, HT 204 4

The teacher's role in education... Do not forget that it is the student's job to learn new skills The teacher's task is to support learning IE204 Digital Design, HT 204 5

There is a course book Brown/Vranesic, Fundamentals of Digital Logic with VHDL Design (3rd edition), Mc-Graw-Hill, 2009 (e-textbook: at CourseSmart) Available at Kårbokhandel at KTH Valhallavägen IE204 Digital Design, HT 204 6

Studying at university Is very different than in high school! Means that you take responsibility for your studies! An engineering degree provides excellent opportunities for a wellpaid, fun and stimulating job, but first you have to get there! IE204 Digital Design, HT 204 7

Don t forget That the tempo is much higher at KTH than in a high school! An engineer has a great responsibility to society and must therefore have a solid education! IE204 Digital Design, HT 204 8

This course...

Course aims To teach the theoretical foundations for the analysis and synthesis of combinational and sequential digital circuits Through practical problem solving, provide an understanding of various design phases to enable the students designing simple combinational and sequential digital systems IE204 Digital Design, HT 204 0

Course aims (cont) To teach the students a design methodology Specification (function and other characteristics) Analysis Synthesis Implementation (network of modules) To confirm that this methodology enables the design of simple digital systems IE204 Digital Design, HT 204

Course aims (cont) Introduce students to English textbooks All good literature on the subject is in English English is the working language in all major Swedish international companies Speaking English (reasonably) fluent is a prerequisite for a successful career as a civil engineer Therefore, we have chosen an English course book! IE204 Digital Design, HT 204 2

Course book Course book (abbreviated as BV atwebpage) Brown/Vranesic, Fundamentals of Digital Logic with VHDL Design (3rd edition), Mc-Graw-Hill, 2009 More material is available on website https://www.kth.se/social/course/ie204/ At present lecture slides from 205 are posted on this website (in the schedule & lectures) I may replace them with updated slides shortly before or after the lecture, if there are changes (in lectures 206 P for TCOMK eng. section) IE204 Digital Design, HT 204 3

Course content overview Specification of the digital functions and systems Digital building blocks Digital Arithmetic Synchronous circuits and state machines Asynchronous circuits and state machines Larger digital systems: processors and computers We will not go through VHDL to any great extent - it is a complete course by itself IE204 Digital Design, HT 204 4

Examination and structure Examination LABA, 3.0 hp Grade: G/U TENA, 4.5 hp Grade A-E/F Lectures - 28 h (4 x 2h) Exersices - 6 h (8 x 2h) Labs - 2 h (3 x 4h) IE204 Digital Design, HT 204 5

Final exam Friday 2 Oct, 9:00-3:00, Ka-205, 208, 20, 2, Registration is required No later than two weeks before the exam! IE204 Digital Design, HT 204 6

Exercises There is a booklet for exercises which you can download from the course webpage There are also presentations of exercise (may differ for different assistants) IE204 Digital Design, HT 205 7

Three labs: Combinational circuits Sequential circuits Introduction to VHDL Located in room 305 Labs Registration is required and it is done via the Daisy system https://daisy.ict.kth.se/ Arrive in a good time to the first lab your accounts may need to be activated IE204 Digital Design, HT 204 8

Knowledge control To get a lab you must first make a mandatory knowledge control (Web-based) You will find your "number" in Daisy The same "number" is then used for knowledge control for all three labs IE204 Digital Design, HT 204 9

Knowledge control, cont. Choose the right questions to answer! Select DD-X if your number is X Link to the answers Link to the course webpage IE204 Digital Design, HT 205 20

Knowledge control, cont. Answer Page: When the answer is the correct, the box gets ticked off and removed. Once all fields in the entire knowledge control has been answered correctly, you are done IE204 Digital Design, HT 205 2

Knowledge control, cont. We will control if your number has be registered before admitting you to a lab Check it yourself, too! If you are not done with knowledge control before the lab, you should cancel your lab time, so that someone else can use it...... IE204 Digital Design, HT 205 22

How to book time for Labs Knowledge control number You book the time for labs yourself in Daisy You can also cancel the time your have booked IE204 Digital Design, HT 205 23

Knowledge control Note that knowledge control and preparation for labs are very time consuming You are therefore advised to start working on these as soon as possible! IE204 Digital Design, HT 204 24

The lecturer is available during the break and for some time after the lecture Take advantage of this time Email Contact with KTH-Personal KTH staff is flooded by too many emails If necessary, send a plain and clear message to the right person Use your KTH mail address! Put "IE204:... is the subject of your message IE204 Digital Design, HT 204 25

More information is available on the web! It is not always possible to go through all the information during a lecture Visit the course web page often! https://www.kth.se/social/course/ie204 IE204 Digital Design, HT 204 26

Why is digital technology important?

Our daily life is affected by digital technology Computers are everywhere and in all kinds of products Did you that there are about 40 to 00 microprocessors in a new car? IE204 Digital Design, HT 204 28

Development of electronics Technological progress allows more and more functionality to be integrated on a single chip ENIAC (946) Apple II (977) The trend continues! iphone 6 (204) IE204 Digital Design, HT 204 29

Advances in technology The development goes amazingly fast... IE204 Digital Design, HT 204 30

Examples of digital systems VLSI Chip (Alpha 264) Funktional block of Pentium (Intel) iphone 6s IE204 Digital Design, HT 204 3

Examples of digital systems 3D Integration Tilera TilePRO64 (8x8 MPSoC) Intel TeraFlop (8x0 MPSoC) IE204 Digital Design, HT 204 32

Examples of digital systems (2022) Robotic Brain 0x0x0 Chips containing a total of ~6350 Brain Processing Units (BPUs) & ~00 TB DRAM memory IE204 Digital Design, HT 204 33

Development of electronics Intel 4004 (97) Intel Xeon 5400 (2008) 3.0 GHz 820 millions of transistors 08 KHz 2,300 transistors If we had a corresponding development of cars, we would now be able to drive from San Francisco to New York in about 3 seconds (Intel). IE204 Digital Design, HT 204 34

Digital technology has created the basis for this development Simple mathematical model Just s and 0s as values Boolean algebra (George Boole 85-864, English) Interference-insensitive, efficient implementation of the mathematical model Transistor-based integrated circuits Progress in semiconductor technology Effective design methods and tools IE204 Digital Design, HT 204 35

Why binary?

Analog & digital dignals Most of the signal processing today is done digitally Microphone Analog-Digital Converter Digital-Analog Converter Antenna Carrier Frequency ADC Signal Processing & Storage DAC Analog signal 000000 000 0 000 Digital signals Modulated signal IE204 Digital Design, HT 204 37

Analog vs. digital Instead of an analog signal that can assume continuous values, a digital signal only assumes discrete values 0 00 0 00 00 000 00 00 00 00 0 00 00 0 0 IE204 Digital Design, Autumn 204 35

Digital technology is very insensitive to noise Voltage V DD V,min V 0,max Logic value Undefined Logic value 0 Not only one voltage value is interpreted as or 0, but an interval of voltage values A deviation of a few mv can be very distracting in analog technology, but makes no difference in digital technology V SS (Gnd)

More bits and higher sampling increase signal quality If you have enough bits and sufficiently high sampling frequency, a digital signal can efficiently mimic the analog signal 0 00 0 Analog signal Digital signal (3 bits) Digital signal (4 bits, doubled sampling frequency) 00 00 000 00 00 00 00 0 00 00 0 0 IE204 Digital Design, Autumn 204 37

Quantization and digitalization Quantization Levels 0 00 0 00 00 000 00 00 00 00 0 00 00 0 0 Digital signal sequence IE204 Digital Design, Autumn 204 38

Noise margins of digital levels = Noise margins IE204 Digital Design, Autumn 204 39

Why binary? CMOS transistors are Cheap (made from ordinary sand) Reliable Efficient It is easy to make a transistor work as a switch IE204 Digital Design, Autumn 204 4

Transistor - switch with no moving parts Gate Source Drain Schematic diagram of the SiGe transistor (KTH) IE204 Digital Design, Autumn 204 42

How do we design a digital system?

A processor contains many gates... Very inefficient to describe it by drawing a network of gates We need other methods to describe a system! IE204 Digital Design, Autumn 204 44

Design Methodology Specification (Function and Other characteristics) Analysis Synthesis Implementation (Network of modules) IE204 Digital Design, Autumn 204 45

Hierarchy and levels of abstraction Hierarchy: Looking at things that are composed of various smaller and (hopefully) simple things Abstraction: When we are looking at a specific level, we do not need to know all the details at the lower levels IE204 Digital Design, Autumn 204 46

Hierarchy in a digital system VDD Into Out VSS IE204 Digital Design, Autumn 204 47

Digital hardware in a computer IE204 Digital Design, Autumn 204 48

Three typical design methodologies Top-Down Bottom-Up Meet-in-the-Middle System Modules Gates and flip-flops Transistors IE204 Digital Design, Autumn 204 49

Meet-in-the-middle methodology Analyze specifications (top down) - find out the bottlenecks and divide the problem at the appropriate hierarchical level (Study limitations of the target technology) Iterate the design cycle if necessary until the design works satisfactory Build (synthesizable) simulation model of the specification - build hierarchies (bottom-up), test each level thoroughly before it is integrated with the next level Build prototype - debug connections and/or the software the same way as the simulation model was built (Hierarchical bottom-up). Completed prototype / design IE204 Digital Design, Autumn 204 50

Simplified Design Process IE204 Digital Design, Autumn 204 5

Have we can handle millions of transistors in a design?

CAD tools CAD tools are capable of handling the complexity (in term of the total number of transistors) They help us to design highly efficient integrated circuits for a broad spectrum of applications Computers Telecommunications (switches, routers, mobile) Transport industry (aerospace, automotive) The entertainment industry... IE204 Digital Design, Autumn 204 53

What is a CAD tool? A CAD tool is a program that helps an engineer to design (e.g. an integrated circuit) CAD tools can be fully automated or interactive CAD tools are based on algorithms that define the order of steps to be applied IE204 Digital Design, Autumn 204 54

Other types of CAD tools CAD tools are not only used for synthesis of circuits, but also for the analysis of circuits E.g. to simulate a circuit description in order to analyze its time delays, how much power it draws, etc.. IE204 Digital Design, Autumn 204 55

Hardware description language (HDL) Hardware Description Languages (HDLs) is a programming language that makes it possible to describe the hardware features in a natural way In contrast with normal programming languages, like C, where a code is executed sequentially, HDL languages execute a code in parallel HDL descriptions can be used as an input to a "synthesizer", a type of compiler which produces executable code for hardware IE204 Digital Design, Autumn 204 56

But now we take it from the beginning...

Binary numbers Digital technology uses only two numerical symbols: 0 and Easy to implement - each value corresponds to a voltage level, e.g. 0 volts correspond to 0 3 volts correspond to How can we represent ordinary decimal numbers? IE204 Digital Design, Autumn 204 58

Decimal number system Decimal number system has 0 different numeric symbols: 0-9 A decimal number is represented with a sequence of numeric symbols The position in the sequence gives the digit weight which is multiplied by a power of 0 (base in the decimal system is 0) ( 653) 2 0 0 6 0 50 3 0 IE204 Digital Design, Autumn 204 59

Decimal number system Representation of an integer in decimal N m m2 0 0 xm 0 xm2 0... x 0 x0 0 ( 653) 2 0 0 6 0 50 3 0 Representation of a number with comma m m2 0 2 N0 xm 0 xm2 0... x 0 x0 0 x 0 x2 0... (6.53) 0 2 0 6 0 50 30 IE204 Digital Design, Autumn 204 60

The binary system works in the same way as the decimal system, but uses base 2 instead of 0 Binary system... 2 2 2 2... 2 2 2 2 0 0 2 2 2 x x x x x x N m m m m 0 0 2 2 ) (6 2 0 2 2 0) ( 0 2 0 2 ) (3.25 2 2 0 2 2.0) ( 6 IE204 Digital Design, Autumn 204

The octal number system uses base 8 and numerical symbols 0-7 Octal number system... 8 8 8 8... 8 8 2 2 0 0 2 2 8 x x x x x x N m m m m 0 0 8 ) (53.375 8 3 8 5 8 6 65.3) ( 62 IE204 Digital Design, Autumn 204

Hexadecimal number system The hexadecimal number system uses base 6 and numerical symbols 0-9 and letters A to F m m2 0 2 N6 xm 6 xm2 6... x 6 x0 6 x 6 x2 6... ( AE.8) 0 6 06 46 86 (74.5) 0 IE204 Digital Design, Autumn 204 63

A general formulation can be obtained for the base b Number systems with base b...... 2 2 0 0 2 2 b x b x b x b x b x b x N m m m m b 64 IE204 Digital Design, Autumn 204

Integers in different number systems 2 8 0 6 0 0 0 0 0 2 2 2 3 3 3 00 4 4 4 0 5 5 5 0 6 6 6 7 7 7 2 8 0 6 000 0 8 8 00 9 9 00 2 0 A 0 3 B 00 4 2 C 0 5 3 D 0 6 4 E 7 5 F 0000 20 6 0 IE204 Digital Design, Autumn 204 65

Conversion between decimal and binary numbers Conversion from binary to decimal is trivial Conversion from decimal to binary can done by repeatedly dividing by 2 The remainder gives us the numerical value The numbers are in reverse order - Least Significant Bit (LSB) comes first 53 2 26 2 3 2 6 2 3 2 2 26*2 3*2 0 6*2 3*2 0 *2 0*2 x x 5 0 (LSB) x x x x 2 3 4 0 0 (MSB) IE204 Digital Design, Autumn 204 66

Summary There are many different number systems Digital technology uses the binary number system It is possible to convert numbers between different number systems IE204 Digital Design, Autumn 204 67

Design Methodology Meet-in-the-middle Summary Analyze the specification and the target technology top-down Build hierarchies and test bottom-up CAD tools Necessary to manage the complexity of large designs Hardware description language (HDL) It takes too long to construct a gate-level circuit by hand By describing a circuit at a higher level, we increase the productivity level (gates/hour) of a designer. IE204 Digital Design, Autumn 204 68