New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

Similar documents
Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches

Design of Low Power Universal Shift Register

Reduction of Area and Power of Shift Register Using Pulsed Latches

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

P.Akila 1. P a g e 60

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

A Low-Power CMOS Flip-Flop for High Performance Processors

II. ANALYSIS I. INTRODUCTION

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

ECE321 Electronics I

A Power Efficient Flip Flop by using 90nm Technology

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Minimization of Power for the Design of an Optimal Flip Flop

Combinational vs Sequential

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Load-Sensitive Flip-Flop Characterization

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Design of Shift Register Using Pulse Triggered Flip Flop

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Digital Fundamentals

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Figure.1 Clock signal II. SYSTEM ANALYSIS

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

LFSR Counter Implementation in CMOS VLSI

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Power Optimization by Using Multi-Bit Flip-Flops

A Low Power Delay Buffer Using Gated Driver Tree

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Comparative study on low-power high-performance standard-cell flip-flops

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Low Power D Flip Flop Using Static Pass Transistor Logic

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

ADVANCES in NATURAL and APPLIED SCIENCES

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

ISSN Vol.08,Issue.24, December-2016, Pages:

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

PGT104 Digital Electronics. PGT104 Digital Electronics

Optimization of Scannable Latches for Low Energy

A Reduced Clock Power Flip-Flop for Sequential Circuits

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Static Timing Analysis for Nanometer Designs

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Transcription:

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches Dandu Yaswanth M.Tech, Santhiram Engineering College, Nandyal. Syed Munawwar Assistant Professor, Santhiram Engineering College, Nandyal. ABSTRACT: A new flip flop is presented in which power dissipation is reduced by deactivating the clock signal on both the master and slave latches when there are no data transitions. The new circuit overcomes the clock duty-cycle constraints of previously proposed gated flip-flops. The power consumption of the presented circuit is significantly lower than that of a conventional flip-flop when the D input has a reduced switching activity INTRODUCTION: In recent years the desire for portable computing has steadily grown; the reduction of power dissipation is a crucial factor in IC design. In many applications, the power consumption of the IC clock system is one of the main sources of chip power dissipation. This is due to the high switching activity and the heavy capacitive loading of the clock network. Consequently, many techniques have been recently proposed to reduce clock system power dissipation. In particular, new flipflop designs were presented in which the power consumption is reduced due to the clock signal being deactivated (clock gating) when there are no transitions on the D input. Unfortunately, previously proposed clock-gated flip-flops exhibit significant limitations. They require the use of afme-tuned subnanosecond pulse generator to be shared among several flip-flops or necessitate having hard constraints imposed on the clock duty-cycle to avoid timing failures. As the feature size of CMOS technology process shrinks according to Moore s Law, designers are able to integrate more transistors onto the same die. The more transistors there are the more switching and the more power dissipated in the form of heat or radiation. Heat is one of the most important packaging challenges in this era; it is one of the main drivers of low power design methodologies and practices. Another mover of low power research is the reliability of the integrated circuit. More switching implies higher average current is flowing and therefore the probability of reliability issues occurring rises. The most important prime mover of low power research and design is our convergence to a mobile society. We are moving from desktops to laptops to handhelds and smaller computing systems. With this profound trend continuing, and without a matching trend in battery life expectancy, the more low power issues will have to be addressed. This entails that low power tools and methodologies have to be developed and adhered to. The current trends will eventually mandate low power design automation on a very large scale to match the trends of power consumption of today s integrated chips. In this paper we present a new flip-flop design in which the gating technique is used for both master and slave latches, overcoming the limitations of previously proposed approaches. Existed System: The novel conditional-toggle CT_C DET flip-flop design as illustrated in Fig.1. The CT_C flip-flop circuit uses only 20 transistors including transistors for the input, output, and clock buffering. The flip-flop consisting of a dynamic C-element at the output and a latch that provides static behaviour to the circuit. The distinguishing feature of the CT_C flip-flop is the state of its latch doesn t change when the flip-flop s output switches after a clock transition, which leads to low switching energy dissipation. The circuit for the output of C-element is based but with the feedback inverter eliminated. Page 401

The inputs to it are input D and the signal that mirrors Q in between clock transitions. As a transistor schematic diagram with consists DET flip-flop of different clock cycles but they are not having any control to the continuation circuit. Fig3.Schematic of proposed circuit design. Fig 1.schematic of Existed circuit design Fig 2.simulation wave form of Existed design Proposed Method: The latch is positive level-sensitive (it is transparent when ckg = 1 and on hold for ckg = 0). The comparison between D and Q is performed by an XOR gate, while the gating logic circuit compromises a simple AND gate. The operation of the circuit is as follows. If ck is 0, then ckg is also 0 and the latch is correctly in the hold state. On the other hand, when ck is high and D is different from Q, the gating logic enables the ckg signal so that the latch can correctly switch. Note that if D is equal to Q the gating logic inhibits the propagation of switching activity from ck to ckg. In this way the power consumption is reduced, assuming that the capacitance on the ckg node is higher than the input capacitance of the gating logic. It is worth noting that the approach shown in Fig. 1 cannot be straightforwardly applied to an edgetriggered flip-flop. In this case a change of D while ck is high can cause a commutation of ckg, triggering the flip-flop. In previous work this problem has been avoided either by allowing D to change only when ck is low (that is, by imposing a timing constraint on the clock duty-cycle), or by using a fine-tuned sub-nanosecond clock pulse generator. A low-power clock-gated flip-flop that overcomes the limitations of previously proposed approaches can be easily designed by cascading two clock-gated latches in a master-slave configuration. Note that a negative level-sensitive clock-gated latch is quite similar to the schematic circuit diagram shown in Fig. 1, the difference being in the gating logic (implemented with an OR gate) and in the comparator logic (implemented with an XNOR gate). Fig. 2 shows, as an example, the schematic diagram of a clock gated flip-flop in which latches are simple static transmission-gate circuits. The circuit has been designed joining the comparator and the gating logic in a single complex CMOS gate. In this way the number of nodes is reduced, with a further limitation on the power consumption The flipflops were implemented in the 25nm CMOS technology. Implementations were optimized for minimum energy-delay product. For the optimization step, the delay metric was the maximum CK-Q delay because it is straightforward to measure. Optimizations were performed by the simulation tool in an automated fashion: The tool varied transistor sizes within the specified bounds and chose the best sizes for each flipflop after a number of iterations. The search bounds were chosen so that resultant designs would meet recommended design rules most of the time. Page 402

Weak transistors were allowed to use minimum width rather than the recommended minimum width as it would otherwise result in poor circuit performance. Simulations were performed on schematic designs. Conservative estimates of layout parasitic were included in the simulation models at both the optimization and final simulation stages. These estimates were provided by one of the features of the design kit: The kit can automatically include its own estimation of the RC parasitic interconnect network into schematic simulation models. Parasitic extraction and post layout simulations were also performed on selected designs and were compared to schematic simulations that used automatic estimation of parasitic. Post-layout simulations showed that the kit s estimates for small designs are often conservative and that compact circuits often perform slightly faster in postlayout simulations than in schematic simulations with the automatic parasitic network estimation turned on. The simulation test bench that is used in this comparison is very similar to the ones used. The Q output of a simulated flip-flop is connected to a load of four symmetric inverters with their n-type transistors sized at minimum recommended width. The generated data and clock signals are connected to the flip-flop s inputs through two inverters. Fig 4.proposed circuit wave forms Conclusions: In this paper a new low-power flip-flop design in which a gating technique is used for both master and slave latches is presented. The new circuit represents an improvement on previously proposed approaches at the cost of an increased circuit complexity. The use of the proposed clock-gated flip-flop results in a significant power saving when the input signal switching activity is low. FLIP-FLOPS AND LATCHES: Building a sequential machine that calls for the memory elements which reads a value, saves it for some time and then writes that stored value somewhere else although the element s input value has subsequently changed. A Boolean logic gate can compute values, however it for a while after which writes that stored cost someplace despite the fact that the detail s input value has in the end modified. Each alternative circuit used as a memory element having its own advantages and disadvantages. A generic memory element has as internal memory and some circuitry to control access to its internal memory. The memory element which reads its facts from the input value while advised by the clock and stores that value in its memory. The output displays the saved value, possibly after some delay. In CMOS circuits the memory is formed in two approaches. The primary technique makes uses of positive feedback. Consequences in a class of elements called multi vibrator circuits. The second technique to construct memory function in circuits is to use charge storage as a means to store signal values. This technique, which is very famous in MOS world, requires regular refreshing as charge tends to leak away with time. TIMING AND DELAY DEFINITIONS FOR FLIP- FLOPS: The typical overall performance of a flip-flop is qualified through three crucial timings and delays: propagation delay (Clock-to-Output), setup time and preserve time. They reflect within the system level Overall performance of the flip-flops. Setup time and keep time outline the connection among the clock and enter data. Page 403

Propagation Delay: Propagation delay (Clock-to-Output) is the time delay after arrival of clock s active edge that output is considered as stable. Clock-to- Output equals the time it takes for the output to change after the occurrence of the clock side. Propagation delay differs for lowexcessive transitions and excessive-low transitions. t Clock to Output= max(t Clock to Output LH, t Clock to Output HL) Figure: Timing definitions Setup Time: To function correctly, the edge-triggered flip-flop requires the input to be stable some time before the clock s active edge. As setup time may additionally range for low-excessive transitions and excessive-low transitions, setup time is by using definition most of the values obtained for low-excessive and high-low transitions: t setup= max (t setup LH, t setup HL). Hold Time: Flip-flop design requires the state of the input to be held for a while after the clock edge. Hold time is by definition most of the values received for low-high and high-low transitions: Thold= t max(tholdlh, tholdhl) The definitions of setup times hold times and propagation delays are illustrated in the timing diagram of above figure In those definitions, propagation delay, setup time and hold time are considered as independent variables. However what hap pens in reality shows that those parameters are not independent from each other, As an example, propagation put off is strongly related with the information arrival time. Propagation put off expands as records arrive later. When data records arrival time could be very close to clock edge, the Clock to Output delay increases drastically. In this situation flip-flop is very near to function incorrectly or to enter an unstable operation point called Meta stability. COMPARISION TABLE: Parameter Existed Method Proposed Method Delay 0.5ns 0.21ns Power 3.97 mw 3.06 mw Power Product (PDP) Delay 19.85 PJ 6.426 PJ CONCLUSION: In this paper a new low-power flip-flop design where in which a gating approach is used for both master and slave latches is presented. The new brand circuit represents an improvement on formerly proposed approaches at the cost of an increased circuit complexity. The usage of the proposed clock-gated flip-flop results in a significant power saving when the input signal switching activity is low. From this proposed system to achieve low power and delay. The main aim to calculate the power and delay product (PDP). REFERENCES: [1] N. Nedovic and V. G. Oklobdzija, Dual-edge triggered storage elements and clocking strategy for low-power systems, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 5, pp. 577 590, May 2005. [2] A. G.M. Strollo, E. Napoli, and C. Cimino, Analysis of power dissipationin double edgetriggered flip-flops, IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 8, no. 5, pp. 624 629, Oct. 2000. Page 404

[3] P. Zhao, J. McNeely, P. Golconda, M. A. Bayoumi, R. A. Barcenas, andw. Kuang, Low-power clock branch sharing double-edge triggered flipflop, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 3,pp. 338 345, Mar. 2007. [4] J. Tschanz, S. Narendra, C. Zhanping, S. Borkar, M. Sachdev, and V. De, Comparative delay and energy of single edge-triggered and dual edgetriggeredpulsed flip-flops for high-performance microprocessors, Proc.Int. Symp. Low Power Electron. Des., 2001, pp. 147 152. [5] D. E. Muller, Theory of asynchronous circuits, Internal Rep. no. 66,Digit. Comput. Lab., Univ. Illinois at Urbana-Champaign, 1955. [6] K. van Berkel, Beware the isochronic fork, Integr., VLSI J., vol. 13,pp. 103 128, Jun. 1992. [7] S. V. Devarapalli, P. Zarkesh-Ha, and S. C. Suddarth, A robust and lowpower dual data rate (DDR) flip-flop using C-elements, in Proc. 11th Int.Symp. Quality Electro. Des. (ISQED), Mar. 22 24 2010, pp. 147 150. [8] A. Gago, R. Escano, and J. A. Hidalgo, Reduced implementation ofd-type DET flip-flops, IEEE J. Solid-State Circuits, vol. 28, no. 3,pp. 400 402, Mar. 1993. nanometer CMOS flip-flops: Part I Methodology and design strategies, IEEE Trans. Very Large ScaleIntegr. (VLSI) Syst., vol. 19, no. 5, pp. 725 736, May 2011. [12] M. Alioto, E. Consoli, and G. Palumbo, Analysis and comparisonin the energy-delay-area domain of nanometer CMOS flip-flops: PartII Results and figures of merit, IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 19, no. 5, pp. 737 750, May 2011. [13] V. Stojanovic and V. G. Oklobdzija, Comparative analysis of masterslavelatches and flipflops for high-performance and low-power systems, IEEE J. Solid-State Circuits, vol. 34, no. 4, pp. 536 548, Apr. 1999. [14] M. Alioto, E. Consoli, and G. Palumbo, Analysis and comparison ofvariations in double edge triggered flip-flops, in Proc. 5th Eur. WorkshopCMOS Variability (VARI), Palma de Mallorca, Spain, 2014, pp. 1 6. [15] M. Shams, J. C. Ebergen, and M. I. Elmasry, Modeling and comparing CMOS implementations of the C-element, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 6, no. 4, pp. 563 567, Dec. 1998. [9] R. Hossain, L. D. Wronski, and A. Albicki, Low power design usingdouble edge triggered flip-flops, IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 2, no. 2, pp. 261 265, Jun. 1994. [10] A. Bonetti, A. Teman, and A. Burg, An overlapcontention free truesingle-phase clock dual-edgetriggered flip-flop, in Proc. IEEE Int.Symp. Circuits Syst. (ISCAS), May 24 27 2015, pp. 1850 1853. [11] M. Alioto, E. Consoli, and G.Palumbo, Analysis and comparisonin the energy-delay-area domain of Page 405