ADVANCES in NATURAL and APPLIED SCIENCES

Similar documents
EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

An FPGA Implementation of Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Low-Power And Area-Efficient Shift Register Using Digital Pulsed Latches

ISSN Vol.08,Issue.24, December-2016, Pages:

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

A DELAY EFFICIENT LOW POWER SHIFT REGISTER BY MEANS OF PULSED LATCHES J.VIJAYA SAGAR 1, T.VIJAYA NIRMALA 2

Optimization of Power and Area Efficient Shift Register Using Pulsed Latch

SHIFT REGISTER USING CNT FET BASED ON SENSE AMPLIFIER PULSED LATCH FOR LOW POWER APPLICATION

Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner

Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area

Design Low-Power and Area-Efficient Shift Register Using SSASPL Pulsed Latch

A Power Efficient Flip Flop by using 90nm Technology

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

2. Conventional method 1 Shift register using PPCFF

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

PTL-AND CLOCK-PULSE CIRCUIT DRIVEN NOVEL SHIFT REGISTER ARCHITECTURE

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P11 ISSN Online:

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

ISSN Vol.04, Issue.12, November-2016, Pages:

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

II. ANALYSIS I. INTRODUCTION

Load-Sensitive Flip-Flop Characterization

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Design of Low Power and Area Efficient 256 Bits Shift Register Using Pulsed Latches

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

Low Power Area Efficient VLSI Architectures for Shift Register Using Explicit Pulse Triggered Flip Flop Based on Signal Feed-Through Scheme

Design of Shift Register Using Pulse Triggered Flip Flop

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

ANALYZE AND DESIGN OF HIGH SPEED ENERGY EFFICIENT PULSED LATCHES BASED SHIFT REGISTER FOR ALL DIGITAL APPLICATION

An efficient Sense amplifier based Flip-Flop design

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

A Low-Power CMOS Flip-Flop for High Performance Processors

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design of Low Power Universal Shift Register

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Design of an Efficient Low Power Multi Modulus Prescaler

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

P.Akila 1. P a g e 60

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

Current Mode Double Edge Triggered Flip Flop with Enable

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A Symmetric Differential Clock Generator for Bit-Serial Hardware

ADVANCES in NATURAL and APPLIED SCIENCES

Transcription:

ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 June 11(8): pages 440-448 Open Access Journal Design of 8-Bit Shift Register using Power Pc-Style Flip Flop 1 B. Gowthami, 2 C. Maheswari, 3 V. Navya 1 Assistant professor, Sree vidyanikethan engineering college, Ttirupathi, A.P, Iindia. 2 Assistant professor, Sree vidyanikethan engineering college, Ttirupathi, A.P, Iindia. 3 Assistant professor, Sree vidyanikethan engineering college, Ttirupathi, A.P, Iindia. Received 28 March 2017; Accepted 7 June 2017; Available online 12 June 2017 Address For Correspondence: B.Gowthami, Assistant professor, Sree vidyanikethan engineering college, ECE Department, Ttirupathi, A.P,Iindia, phone:918897071908; E-mail: ggowthamiece@gmail.com Copyright 2017 by authors and American-Eurasian Network for ScientificInformation (AENSI Publication). This work is licensed under the Creative Commons Attribution International License (CC BY). http://creativecommons.org/licenses/by/4.0/ ABSTRACT The use of multiple non-overlap delayed pulsed clock signals solves the timing problem when compared to conventional clocking scheme i.e., single pulsed clock signal. Also the pulsed latches prove to be area efficient and power efficient. Further the area and power consumption in flipflops can be reduced by using pulsed latches. The 8-bit shift register is designed by using small number of the pulsed clock signals by grouping the latches to several sub shift registers and with additional temporary storage latches. The Designs are coded in SPICE and simulated using HSPICE Tools. The Power Delay Product of pulsed latch is found to be improved by 67.45% in pulsed latch and master slave flip flop. The Power Delay Product of SSASPL is found to be improved by 85.6% than PPCFF. The Power Delay Product is found to be improved by 36.5% from design1, 39.74% and 41.19% from design3 respectively. KEYWORDS: Flip-Flops, Pulsed Clock, Pulsed Latches, Shift registers, HSPICE, SSASPL, PPCFF. INTRODUCTION The modern digital systems impose constraints low power, less area occupation and high speed circuits. The design of clock plays a major role in communication system. The pulsed clock signal is used to increase the data rate of the system designed. In literature, the design of various Latches and Flip-Flops is performed. The basic difference between the latch and flip-flop lies at the enable signal and the clock signal. The enable signal is used to trigger the latch that is level sensitive. While the clock signal is used to trigger the Flip-Flop, which is edge triggered. The edge triggering can be done by using rising edge or falling edge. But most practical circuits use positive or rising edge triggered flip-flops. The basic design of the flip-flop is shown in fig.1[1], where two latches are used to design the flip-flop. The output signal is given to a delay circuit for generation of pulsed clock signal to derive the short width pulses. Fig. 1: Design of Flip-flop using two latches and for the design of pulsed latch. ToCite ThisArticle: B. Gowthami, C. Maheswari, V. Navya., Design of 8-Bit Shift Register using Power Pc-Style Flip Flop. Advances in Natural and Applied Sciences. 11(8); Pages: 440-448

441 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 Fig. 2: Design model for generic Pulsed latch and Multi-bit pulsed latch. The fig.2 shows the design of generic and multi-bit pulsed latch. From the generic pulsed latch structure, the pulses can be easily distorted since the pulse generator and Latches are placed apart. In multi-bit pulsed latches, the pulse generator and latches are placed and hard-wired together in a compact and symmetric form. Also the pulse distortion and clock skew can be well controlled. Fig. 3: (a) The existing design of Pulsed clock signal. (b) The existing pulsed flip-flop design In fig.3.(a), when the applied clock signal, CLK is 0, the PMOS transistor P1 and the NMOS transistor N1 turn on which causes pulse clock PCK to be 0. Also the pull-down network is enabled after N2 is turned on at the rising edge of CLK, and hence the PCK signal at the output is driven high. Both the transistors N3 and P2 are subsequently turned on, which drives the PCK signal back to 0. Hence, the pulse width is determined by the inverter chain delay. And if the clock gating, driven by signal EN (enable) is embedded in the circuit, the enable signal EN is not allowed to change while the PCK signal is 1, which occurs briefly, and can be realized by a simple transmission gate. This signal is utilized in the fig.3 (b) for the implementation of pulsed Flip-Flop. The 8-bit shift register uses the D-Flip Flop driven a clock pulse signal. The shift registers are used in applications like digital filters, communication systems and image processing ICs. The Design of Flip Flop plays a crucial role in the storage buffers. The proven ways used to design a memory element are shown in fig.4. Fig. 4: (a) Master Slave Flip Flop (b) Pulsed Latch In fig.4.(a), the master slave flip flop is designed by using two latches driven by synchronous clock. In fig.4.(b), the pulsed latch is driven by a pulse generation circuit as shown in fig.5. Fig. 5: Delayed Pulsed Clock Generator

442 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 The fig.5, the delayed pulsed clock generator is designed by using CMOS technology with the standard W/L ratio as 3:1. For delayed pulsed clock generator includes a delay element, two inverters and a AND gate. latch and Flip-Flop: This paper utilizes a the Power PC Style Flip Flop(PPCFF) and static Differential Sense Amplifier Shared Pulse Latch (SSASPL). The novel SSASPL with 9 transistors 6] is adapted to the SSASPL with 7 transistors as shown in Fig.3 by removing an inverter to generate the complementary data input (Db) from the data input (D). The SSASPL uses the minimum number of transistors (7 transistors) and it consumes the lowest clock power because it has a single transistor driven by the pulsed clock signal. Three NMOS transistors are used to update the data which holds the data with four transistors in two cross-coupled inverters [11]. Two differential data inputs (D and Db) and a pulsed clock signal is required. Data is updated when the pulsed clock signal is in high position According to the input data (D and Db) the node Q or Qb is pulled down to ground. The pull-up current of the PMOS transistors is lesser than the Pull-down current of the NMOS transistors in the inverters. Fig. 6: Static Differential Sense Amplifier Shared Pulse Latch (SSASPL) Fig. 7: Power Pc-Style Flip Flop (PPCFF) The PPCFF uses 16 smallest number of transistors which are among the flip-flops [10]-[15]. The schematic of the PPCFF, shows in Fig.4. Which is a master-slave flip-flop composed of two latches. The PPCFF contains 16 transistors, in addition 8 transistors are used to driven clock signals. PPCFF uses the minimum size of transistors for a fair comparision. shift register design: For small area and low power consumption, shift register uses pulsed latch as an striking solution [8]. Due to the timing problem, pulsed latch cannot be used in shift registers as shown in Fig.8. The shift register in Fig 8(a) contains several latches and a pulsed clock signal(clk pulse). The timing problem in the shift register is shown in the operation waveforms in Fig 8(b). The input signal of the first latch (IN) is constant due to this the output signal of the first latch(q1) changes correctly [12]. The input signal (Q1) varies during the clock pulse width due to this reason the second latch has an uncertain output signal(q2). Fig. 8: Shift register with latches and a pulsed clock signal. (a) Schematic. (b) Waveforms.

443 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 To minimize the timing problem, the best solution is to add delay circuits between latches, as shown in Fig. 9(a). latch s output signal is delayed and reaches next latch after the clock pulse. During the clock pulse width, the output signals of the first and second latches (Q1 and Q2) changes. Due to this effect, the input signals of all latches during clock pulse remains constant and also no timing problem occurs between the latches. Even-though, the delay circuits cause large area and power overheads [16]. Fig. 9: Shift register with latches, delay circuits, and a pulsed clock signal. (a) Schematic. (b) Waveforms. The possible solution is to use multiple non-overlap delayed pulsed clock signals, as shown in Fig 10(a). when a pulsed clock signal goes through delay circuits, the delayed pulsed clock signals are generated. Every latch utilizes a pulsed clock signal which is delayed from the pulsed clock signal used in the next latch [9]. So, every latch updates the information after its next latch updates the information. End result, each latch has a constant input during its clock pulse and there is no timing problem arises between latches [13]. Many delay circuits are also required for this solution [14]. The respective waveforms are as shown in fig. 10(b) Fig. 10: Shift register with latches and delayed pulsed clock signals. (a) Schematic. (b) Waveforms. The proposed shift register is an example which is shown in Fig. 11(a). To reduce the number of delayed pulsed clock signals, the proposed shift register is divided into sub M shift registers. Five latches are present in the 4 BIT Shift register with five non-overlap delayed pulsed clock signals (CLK_pulse<1:4> and CLK_pulse<T>) [10]. Four latches store 4-bit data i.e.,(q1-q4),in the 4-bit sub shift register #1, and the 1 bit temporary data (T1) stores in the last latch [15]. The resustored in the first latch(q5) of the 4 bit sub shift register #2. Fig 11(b) shows the proposed shift register with operation waveforms.

444 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 Fig. 11: Proposed Shift Register (a) Schematic (b) Waveforms RESULTS AND DISCUSSION All the corresponding designs of Flip-Flops, latches and Shift registers are designed in SPICE and verified in Synopsys HSPICE Tools. Avan waves is used to visualize the simulated outputs. The designs are compared for power, delay and power Delay Product. The delayed pulsed clock generator produced a overall delay of 0.1952nS with a overall power consumption of 75.566mW. Fig. 12: Simulation Result of Master Slave Flip Flop

445 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 Fig. 13: Simulation Result of Pulsed Latch The simulated waveforms are shown in figs. 12 and 13 for the Master Slave Flip Flop and Pulsed Latch. As per the requirement the signals are generated. In fig.12, the master-slave Flip Flop results in the output with minimum delay even though two latches are used to develop it. While the clock is rising, with the help of latch, the pulsed clock is generated as shown in fig.13. When compared to Master Slave Flip Flop, the pulsed latch has better shape of waveform and performance. The Table I shows the comparison result of Master Slave Flip Flop and Pulsed Latch. The delay is decreased by 47.4% in Pulsed Latch. The power consumption is improved by 6.9%. The Power Delay Product of pulsed latch is found to be improved by 67.45% in pulsed latch when compared with master slave flip flop. Also the area is improved by 42.1% in pulsed latch than in Master Slave D-Flip Flop. Table I: Comparison Of Master Slave Flip Flop And Pulsed Latch Parameters Measured Latch Power Delay Delay (ns) Power Consumption (mw) Product Area (µm 2 ) Master Slave D-FlipFlop 8.1422 2.4662 34.8559 76 Pulsed latch 4.2809 2.6495 11.3422 44 The simulated waveforms are shown in figs. 13 and 14 for the SSASPL and PPCFF. The output of the SSAPL flip-flop is degraded as the logic levels are not clearly distinguishable. But in PPCFF, the output has minimum delay with good shape of waveform and desired performance. Fig. 14: Simulation Result of SSASPL Fig. 15: Simulation Result of PPCFF

446 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 The Table II shows the comparison result of SSASPL and PPCFF. Even though the delay is increased and the power consumption is decreased, the Power Delay Product of SSASPL is found to be improved by 85.6% than PPCFF. As Trade-off exists for area and figure of merit, the increase in area is acceptable. Table II: Comparison of SSASPL and PPCFF Memory Elements Parameters Measured Latch Power Delay Product Delay (ns) Power Consumption (mw) (pw-s) Area (µm 2 ) SSASPL 0.17703 20.441 3.6186 11 PPCFF 3.6596 0.14236 0.5209 32 The simulated waveforms are shown in figs. 16, 17, 18 and 19 for 8-bit Shift Registers for the circuits in figs. 8,9,10 and 11 respectively. Among these the output is in good shape and clear for logic levels for proposed 8-bit shift register. The Table III shows the comparison result of various combinations of 8-bit shift register Fig. 16: Simulation Result of Shift register with latches and a pulsed clock signal Fig. 17: Simulation Result of Shift Register with latches, delay circuits and a pulsed clock signal Fig. 18: Simulation Result of Shift Register with latches and Delayed pulsed clock signals

447 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 Fig. 19: Simulation Result of Proposed Shift Register The Table.III shows the comparison results of the all combinations of 8-bit shift registers. The Power Delay Product is found to be improved by 36.5% from design1, 39.74% and 41.19% from design3 respectively. Due to Trade-off between the Area and figure of merit, the increase in area occupied by transistors is acceptable and further if compensation is required scaling of transistor size can be done. Table III: Comparison Of 8-Bit Shift Register Combinations Parameters Measured Latch Power Consumption Power Delay Delay (ns) (mw) Product Area (µm 2 ) Shift register with latches and a pulsed clock signal 0.10007 2.6531 0.2655 116 Shift Register with latches, delay circuits and a pulsed clock signal 0.10602 2.6392 0.2798 132 Shift Register with latches and Delayed pulsed clock signals 0.10743 2.6689 0.2867 132 Proposed Shift register 0.51950 0.32451 0.16858 360 Conclusion: The shift registers prove to be the essential components of Digital Filters, image processing ICs etc. The timing problem in the conventional shift registers is overcome by multiple non-overlap delayed pulsed clock signals. The pulsed latches used in the design are area and power efficient. The 8-bit shift register is designed by grouping the latches to several sub shift registers and with additional temporary storage latches. The Designs are developed in SPICE and verified in Synopsys HSPICE Tools. The Power Delay Product of pulsed latch is found to be improved by 67.45% in pulsed latch and master slave flip flop. The Power Delay Product of SSASPL is found to be improved by 85.6% than PPCFF. The Power Delay Product is found to be improved by 36.5% from design1, 39.74% and 41.19% from design3 respectively. REFERENCES 1. Youngsoo Shin, Seungwhun palk, 2011. Pulsed-Latch Circuits: A new dimension in ASIC Design, IEEE Design and Test of Computers, pp: 50-57. 2. Byung do Yang, 2015. Low Power and Area Efficient Shift Register using Pulsed latches, IEEE Transactions on Circuits and Systems I: Regular Papers, 62(6): 1564-1571. 3. Harshit Singh, M. Meenalakshmi, Shyam Akashe, 2016. "Power efficient shift register using FinFET technology", Emerging Trends in Electrical Electronics & Sustainable Energy Systems (ICETEESES) International Conference on, pp: 318-321. 4. Archana, A., S. Uma Maheswari, 2016. "Analysis of DICE latch based shift register", Circuit Power and Computing Technologies (ICCPCT) 2016 International Conference on, pp: 1-5. 5. Ettore Napoli, Gerardo Castellano, Davide De Caro, Darjn Esposito, Nicola Petra, Antonio G.M. Strollo, 2017. "A SISO Register Circuit Tailored for Input Data with Low Transition Probability", Computers IEEE Transactions on, 66: 45-51. 6. Dinesh, S., Christo Ananth, 2015. "Area power and speed optimized serial type daisy chain memory using modified CPG with SSASPL", Control Instrumentation Communication and Computational Technologies (ICCICCT) 2015 International Conference on, pp: 344-349. 7. Poorna Marthi, Nazir Hossain, Huan Wang, Jean-François Millithaler, Martin Margala, Ignacio Iñiguez-dela-Torre, Javier Mateos, Tomás González, 2016. "Design and Analysis of High Performance Ballistic Nanodevice-Based Sequential Circuits Using Monte Carlo and Verilog AMS Simulations", Circuits and Systems I: Regular Papers IEEE Transactions on, 63: 2236-2244.

448 B. Gowthami et al., 2017/Advances in Natural and Applied Sciences. 11(8) June 2017, Pages: 440-448 8. Yamasaki, H., T. Shibata, 2007. "A real-time image-feature-extraction and vector-generation vlsi employing arrayed-shift-register architecture", IEEE J. Solid-State Circuits, 42(9): 2046-2053. 9. Heo, S., R. Krashinsky, K. Asanovic, 2007. "Activity-sensitive flip-flop and latch selection for reduced energy", IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 15(9): 1060-1064. 10. Partovi, H. et al., 1996. Flow-through latch and edge-triggered flip-flop hybrid elements, IEEE Int. Solid- State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp: 138-139. 11. Consoli, E., M. Alioto, G. Palumbo and J. Rabaey, 2012. Conditional push-pull pulsed latch with 726 fjops energy delay product in 65 nm CMOS, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp: 482-483. 12. Stojanovic, V. and V. Oklobdzija, 1999. Comparative analysis of masterslave latches and flip-flops for high-performance and low-power systems, IEEE J. Solid-State Circuits, 34(4): 536-548. 13. Montanaro, J. et al., 1996. A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor, IEEE J. Solid-State Circuits, 31(11): 1703-1714. 14. Nomura, S. et al., 2008. A 9.7 mw AAC-decoding, 620 mw H.264 720p 60fps decoding, 8-core media processor with embedded forwardbody-biasing and power-gating circuit in 65 nm CMOS technology, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp: 262-264. 15. Partovi, H. 1996. "Flow-through latch and edge-triggered flip-flop hybrid elements", IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp: 138-139. 16. Consoli, E., M. Alioto, G. Palumbo, J. Rabaey, 2012. "Conditional push-pull pulsed latch with 726 fjops energy delay product in 65 nm CMOS", IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp: 482-483.