Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Similar documents
Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

PROGRESS OF UV-NIL TEMPLATE MAKING

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Nano-Imprint Lithography Infrastructure: Imprint Templates

~ 50, ,000 ~ $500K

Recent results of Multi-beam mask writer MBM-1000

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Multi-Shaped E-Beam Technology for Mask Writing

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Introduction and recent results of Multi-beam mask writer MBM-1000

Approaching Zero Etch Bias at Cr Etch Process

The Transition to Patterned Media in Hard Disk Drives

Self-Aligned Double Patterning for 3xnm Flash Production

The Challenges in Making NIL Master Templates

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Auto classification and simulation of mask defects using SEM and CAD images

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Readiness and Challenges of EUV Mask

Electron Beam Technology

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

EUV Blank Inspection

Overcoming Challenges in 3D NAND Volume Manufacturing

24. Scaling, Economics, SOI Technology

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Wafer Thinning and Thru-Silicon Vias

ABSTRACT 1 INTRODUCTION

Because Innovation Matters

FUJISAWA Toru, HAYASHI Masanao, HASEBE Hiroshi, TAKEUCHI Kiyofumi, TAKATSU Haruyoshi, and KOBAYASHI Shunsuke

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Sub-micron high aspect ratio silicon beam etch

Advanced Sensor Technologies

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Deep Silicon Etch Technology for Advanced MEMS Applications

Study of Pattern Area Reduction. with FinFET and SGT for LSI

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

NMOS linear image sensor

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera

Possible Paths for Cu CMP

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button!

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Screen investigations for low energetic electron beams at PITZ

Lossless Compression Algorithms for Direct- Write Lithography Systems

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

CNT FIELD EMISSION CATHODE CATALOG. XinRay Systems Inc. April 2014

MAXIM INTEGRATED PRODUCTS

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling

Review Report of The SACLA Detector Meeting

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

High ResolutionCross Strip Anodes for Photon Counting detectors

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

CAEN Tools for Discovery

Performance of a DC GaAs photocathode gun for the Jefferson lab FEL

Wafer defects can t hide from

Nova NanoSEM Superior Imaging and Analytical Performance

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Production and Development status of MPPC

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Connection for filtered air

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM

A Power Efficient Flip Flop by using 90nm Technology

Backside Circuit Edit on Full-Thickness Silicon Devices

Progress in Scale-up of 2G HTS Wire at SuperPower Part I

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved?

Focused Ion Beam System MI4050

Characterization and improvement of unpatterned wafer defect review on SEMs

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

The hybrid photon detectors for the LHCb-RICH counters

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

I. Introduction. II. Problem

INSTRUMENT CATHODE-RAY TUBE

Applications. l Image input devices l Optical sensing devices

Failure Analysis Technology for Advanced Devices

SEMICONDUCTOR TECHNOLOGY -CMOS-

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Focused-ion-beam fabrication of nanoplasmonic devices

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Layout Decompression Chip for Maskless Lithography

Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator

Transcription:

Controlling Linewidth Roughness in Step and Flash Imprint Lithography Gerard M. Schmid a, Niyaz Khusnatdinov a, Cynthia B. Brooks a, Dwayne LaBrake a, Ecron Thompson a, Douglas J. Resnick a *, Jordan Owens b, Arnie Ford b, Shiho Sasaki c, Nobuhito Toyama c, Masaaki Kurihara c, and Naoya Hayashi c, Hideo Kobayashi d, Takashi Sato d, Osamu Nagarekawa d, Mark W. Hart e, Kailash Gopalakrishnan e, Rohit Shenoy e, Ron Jih e, Ying Zhang f, Edmund Sikorski f, Mary Beth Rothwell f, Shusuke Yoshitake g, Hitoshi Sunaoshi g, Kenichi Yasui g a Molecular Imprints, Inc., 807C West Braker Lane, Austin TX 78758, USA b Sematech ATDF, 706 Montopolis Drive, Austin, Texas 787-699, USA c Electronic Device Laboratory, Dai Nippon Printing Co., Ltd., --, Fukuoka, Fujimino-shi, Saitama 56-8507, Japan d HOYA Corporation R&D Center -- Musashino,Akishima-shi,Tokyo 96-850 Japan e IBM Almaden Research Center, 650 Harry Road San Jose, CA 950-6099, USA f IBM Thomas J. Watson Research Center, 0 Kitchawan Road, Route Yorktown Heights, NY 0598-08, USA g NuFlare Technology, Inc., 8, Shinsugita-cho, Isogo-ku, Yokohama 5-00, Japan ABSTRACT Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At nm half pitch design rules, neither very high NA tools (NA.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. Imprint lithography has been included on the ITRS Lithography Roadmap at the and nm nodes. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask). As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP50A, are not nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate. Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average, LWR was extremely low (less than nm, σ), and independent of the processing step and feature size. Keywords: S-FIL, template, imprint lithography, replication, linewidth roughness *dresnick@militho.com; phone: 5 9-7760; fax: 5 9-799; www.molecularimprints.com

. INTRODUCTION Despite the remarkable progress made in the past decade in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At nm half pitch design rules, neither very high NA tools (NA.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. LWR guidelines for the industry are very aggressive. Table depicts the ITRS 006 roadmap for LWR as a function of both year and DRAM half pitch. 007 00 0 06 09 DRAM ½ Pitch 65 5 6 LWR...7. 0.8 Table. ITRS roadmap for linewidth roughness (LWR), starting at the 65nm half pitch and extending out to 6nm. Imprint lithography has been included on the ITRS Lithography Roadmap at the and nm nodes. Step and Flash Imprint Lithography (S-FIL ) operates in a step-and-repeat fashion: the processes of deposition of imprint material, imprint, alignment, photocuring and release all occur sequentially as each die on a wafer is patterned., S-FIL utilizes UV-curable liquids that are dispensed in a drop-wise fashion to meet the local pattern density requirements of the template structures, thus enabling imprint patterning with a uniform residual layer. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template mold. As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing any linewidth roughness falls to the template fabrication process. Increasing the sensitivity of chemically amplified resists has been shown to cause increased LWR in both EUV and electron beam exposure processes. Non chemically amplified resists, such as ZEP50A, have excellent resolution but are not nearly as sensitive and can produce features with very low LWR. Non chemically amplified resists are therefore much better candidates for high resolution imprint templates. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate.. EXPERIMENTAL DETAILS Templates used for analysis in this work were supplied by both Dai Nippon Printing (DNP) and Hoya. The bas ic process used to fabricate the templates is briefly described. Exposures were performed with either 50 kv variable shaped beam (VSB) pattern generators or 00 kv Gaussian beam (GB) pattern generators. Both a positive tone fast chemically amplified resist and a slower non-chemically amplified resist were employed on the VSB writers. ZEP50A was used in all cases when writing on GB systems. After exposure and development of the resists, the chromium and fused silica were etched using Cl /O and fluorine-based chemistry, respectively. The details of the process are discussed in References and. Mesa lithography and a mesa etch process, followed by a dice and polish step were employed to create a finished 65 mm x 65 mm template. 5 Imprinting of the template pattern was performed by using a Molecular Imprints Imprio 50 imprint tool. A Drop-On- Demand method was employed to dispense the photo-polymerizable acrylate based imprint solution in field locations across a 00 mm silicon wafer. The template was then lowered into liquid-contact with the substrate, displacing the

solution and filling the imprint field. UV irradiation through the backside of the template cured the acrylate monomer. The process was then repeated to completely populate the substrate. Details of the imprint process have previously been reported. 6 SOI wafers were etched using an Applied Materials capacitively-coupled etch chamber. Oxide wafers were etched in a Trion reactive ion etch chamber. LWR measurements were performed two different ways. In the first case, high resolution SEM images were taken with a JEOL JSM-60F field emission cold cathode SEM equipped with a tungsten emitter. The accelerating voltage can be varied from 0.5 to 0 kv. The system has intrinsic. nm resolution capability at 5 kv accelerating voltage, and.5nm at kv. Critical dimension (CD), linewidth roughness, and line edge roughness (LER) data were then extracted offline using the SIMAGIS automated image metrology software suite from Smart Imaging Technologies. For the analysis of some of the etch work, an AMAT NanoSEM was used to collect information on CD. LWR and LER. The beam accelerating voltage was 500V. 00 pixels were used per scan line and 56 lines were scanned for each feature.. RESULTS LWR was analyzed using eight different templates. Five different studies were performed: a) LWR from imprints obtained with a template fabricated using a VSB pattern generator and a fast CA resist, b) CD and LWR for 0nm and 0 nm semi-dense structures, evaluated after imprint, and after SOI etch, c) CD and LWR analysis for dense nm lines, starting at imprint, and ending after a clean process following an oxide etch, and d) an analysis of CD and LWR of the template and the imprinted images for features sizes ranging from half pitches of nm to nm. A 00 KV Gaussian beam pattern generator was used for cases b, c, and d. e) LWR from templates and imprints fabricated using a VSB pattern generator and a slower high resolution resist a. Imprints from a VSB Template Fast chemically amplified resists are typically used in the fabrication of X photomasks and have also been employed when writing full field X templates. Previous publications have noted that resolution is typically limited to 60nm with this type of processing. 7,8 LWR is also impacted, and Figure shows an example of the LWR obtained from 90nm dense lines using a template fabricated with a fast CA resist. SIMAGIS software was used to analyze CD, LWR and LER. Four lines were measured, with a sampling step of.79nm. The mean LWR was.7nm, with a σ variation of.7nm. The large LWR is primarily attributed to shot noise limitations during the exposure process. 9,0 Other templates created with somewhat slower CA resists have yielded somewhat better LWR results (< 8nm, σ), but nothing approaching the values suggested by the ITRS roadmap. 90nm HP line number line orientation, degree total line length, nm profile sampling step, nm scale, nm / pixel -90.80 876.6.79.89 parameter line width LWR <s > left LER <s > right LER <s > pitch sigma inf <s > mean, nm 9.9.7 5.96 8.7 85.88.87 std dev, nm.0.7.7 0.7.5 - Figure. Linewidth roughness (LWR) for 90nm half pitch imprinted lines. The template used to imprint these features was fabricated using a fast chemically amplified resist.

b. 0nm and 0nm Semi-dense Features The templates evaluated in the next three sections were all written using ZEP50A, a high resolution positive electron beam resist from Nippon Zeon. Depending on the amount of biasing employed and developer used, the dose required at 00 kv can vary from 00 µc/cm to over 00 µc/cm. Given the improved electron statistics, it is expected that the LWR would be significantly reduced. The first samples that were analyzed consisted of 0nm and 0nm semi-dense patterns that are being used to test addressing schemes for ultra -high density memory., Portions of a typical test structure are shown in Figure. Figure a shows a SEM image of the template for the 0nm test structures. Figure b shows the corresponding imprint of the test structure. The etched SOI fins, with apparently very smooth sidewalls, are shown in Figure c, and Figure d shows a cross section TEM image of the SOI fins after additional processing. Template Imprint ZEP50 A Etched SOI Fins X-Section of Processed Fins O Si BOx Figure. 0nm semi -dense structure: a) Template, b) imprinted features, c) etched SOI fins, and d) cross-section of etched fins after additional processing. 0nm field #6 LWR.9.5.56 LER.76 0nm field # LWR.05.79. LER.0 Figure. LWR and LER measurements of the 0nm and 0nm semi-dense features after etch.

SIMAGIS measurements of the imprinted 0nm lines revealed an LWR of.nm, σ. After imprinting, wafers were etched. Wafers and 5 were analyzed via CD-SEM, to obtain a more complete statistical view of the variations within a field, from field to field, and from wafer to wafer. Figure shows the LWR and LER results for a single set of 0nm and 0nm lines. LWR was comparable to the starting imprinted LWR, and LER was much less than.0nm. Fifteen lines across five fields were also measured on wafers and 5, and the results are shown in Figure. It is interesting to note that not only does the CD track from line to line, but so does the LWR. At 0nm, the correlation between wafer and 5 is 0.98 for CD and 0.58 for LWR. At 0nm, the correlation between wafer and 5 is 0.907 for CD and 0.95 for LWR. These results lead us to believe that the imprint process yields both highly reproducible CD and LWR from fieldto-field. 50 CD- (nm) CD-5 (nm) LWR- (nm) LWR-5 (nm).5 Critical Dimension (nm) 5 0 5 LWR =.6nm.5.5 LWR (nm) 0.5 0 0 0 6 8 0 6 Line Number 65 CD0- CD0-5 LWR0- LWR0-5.5 Critical Dimension (nm) 60 55 50 LWR =.6nm.5.5 LWR (nm) 0.5 5 0 0 6 8 0 6 Line Number Figure. Critical dimension (CD) and LWR for the 0nm and 0nm features after etch for two different wafers. Note the good correlation for both CD and LWR.

c. nm Dense lines A nm design template (supplied by DNP) provided a first opportunity to track CD and LWR for dense features through etch. Process steps examined included imprint, descum, oxide etch and wet clean. The results of this study are shown in Figure 5. The center eight lines of a ten line pattern were analyzed with respect to CD, LWR and LER. The outer lines were not included to avoid possible asymmetric etch effects. After imprint, the mean LWR measured.8nm. The statistics for the imprinted features are shown in the bottom right hand corner of the image. It is interesting to observe that lines and have a difference in LWR of approximately nm, yet the scanned image (bottom left) gives no indication of any obvious difference in line roughness. The conclusion drawn is that for these values of LWR, it is not possible to distinguish differences between nm and nm of LWR, and that better methodologies will be necessary to characterize LWR values less than nm, σ. As the wafer was processed, LWR remained low, and to within measurement error, no discernable difference in LWR could be detected. Imprint Descum..nm.8nm 9.nm.5nm CD LWR 5 6 7 Oxide Etch Clean 0.7nm.7nm 8.nm.9nm 8 Imprint Statistics Results / Line 5 6 7 8 Width min, nm 8.79 8.80 9.0 8.69 8.9 7.6 9. 9.0 Width max, nm.7 5.00.79.79.0.8.5.57 Width med, nm.6.67.0.. 0..79.. LWR <s > nm.6...7.78..6 LER Left <s > nm.7..0.50.9.8.00.99 LER Right < s > nm.0..6.0.08.0.5.7 Figure 5. CD and LWR for nm dense lines starting with the imprint process and ending with a clean process after etch. LWR is less than nm, and is nearly constant throughout the process. d. nm Dense Lines A template containing both dense and semi-dense features ranging in size from nm to nm provided an opportunity to compare LWR between the starting template and the imprinted images. SEM images of the template, provided by DNP, are shown in Figure 6. The graph, to the right of the images, plots CD and LWR as a function of coded CD. CD remained linear (to within 5%) across all feature sizes, and LWR measured.nm and was nominally independent of feature size. Imprint results with this template are shown in Figure 7a. Three imprints of the nm patterns had a mean LWR of.7nm, closely tracking what was observed in the template. The mean CD for all fifteen lines was.7nm, with a σ variation of only.6nm.

nm 6nm 6 8 Measured CD (nm) 7 6 0 5 8 0nm nm 6 LWR (nm) 0 0 0 6 8 0 6 Coded CD (nm) Figure 6. a) Template SEMs for CDs ranging from nm to nm. b) CD and LWR as a function of coded CD. CD response is linear, while LWR is independent of feature size. Two additional templates with minimum CDs of 8nm and 6nm were also imprinted to observe if low values of LWR were maintained. One example is shown in shown in Figure 7b. LWR from the 8nm and 6nm features measured.60nm and.5nm, respectively. nm # LWR=.55nm # LWR=.05nm 6nm a. b. # LWR=.60nm CD=.7nm σ=.6nm Figure 7. a) nm imprints from the template shown in Figure 6. LWR of the imprint is comparable to that seen in the template. b) An imprint at 6nm (right image. Image courtesy of Toshiba.). LWR remains low.

e. Analysis of all GB data Figure 8 shows a plot of LWR versus CD from all of the high resolution features in this study and includes all of the data from templates, imprints, and etched wafers. The data set consisted of one hundred and thirty measurements, and the mean LWR was.87nm. The lowest observed LWR was.70nm, which is the target value for LWR nm DRAM half pitch in 0. To first order, LWR is independent of both feature size and process step, for the processes employed in this study. LWR (nm) 5 Total # lines measured: 0 LWR mean LWR min LWR max σ =.87nm =.70nm =.9nm =.7nm Template Imprint Etch Fit 0 5 0 5 0 5 0 5 50 Measured CD (nm) Figure 8. LWR as a function of feature size for all lines measured. To first order, LWR is independent of feature size and process step. f. VSB Pattern Generation using ZEP50A The resist processes developed for sections b, c and d were then applied to VSB writers, in order to understand the effect on LWR. For these experiments, an EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm ), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. nm 0 nm Figure 9. Dense lines on a template fabricated with a NuFlare EBM-5000 and ZEP50A resist. 5 nm

For the first plate, an EBM-5000 was used to expose the ZEP50A resist. Finished template features are shown in Figure 9. Lines as small as nm were resolved. In attempt to improve LWR, an improved resist process was then applied, along with a thinner (50nm) layer of ZEP50A, and exposed on an EBM-6000. The resist features are shown in Figure 0. nm HP 9nm HP Figure 0. nm and 9nm dense lines imaged using an EBM-6000 and ZEP50A resist. The resist thickness was 50nm. A negative bias of -nm was also applied in order to improve resolution and reduce LWR. 8 7 6 Template Imprint Etch Fit VSB Template VSB Resist LWR (nm) 5 0 0 0 0 50 60 Measured CD (nm) Figure. LWR for all templates exposed using ZEP50A. The LWR obtained from exposures on VSB systems is imp roved relative to the results obtained on VSB systems using a chemically amplified resist. The LWR from lines defined in Figures 9 and 0 was measured and included with the data shown in Figure 8. The result is shown in Figure. For the case when the EBM-5000 was used, the LWR varied between and 7nm, which is a significant reduction relative to the LWR obtained using a chemically amplified resist (see Figure ). It was noted that

LWR did increase as feature size approached nm. When the improved resis t process was applied on the EBM-6000, an additional improvement (of about nm) in LWR was obtained for the smallest features measured. The LWR is still higher than what was obtained on Gaussian beam writers, and the process continues to be refined in order to further reduce LWR.. CONCLUSION LWR, a critical parameter for determining device performance, has been characterized for the S-FIL process. Advantages of using low sensitivity electron beam resists, such as ZEP50A, and Gaussian beam pattern generators were observed. LWR was characterized on the template, after imprint, and after etch into two different substrates. In the case where Gaussian beam pattern generators were used, LWR was independent of feature size (measured down to 0nm) and process step. Extremely low values were noted:.87nm on average, with a minimum of.70nm. Improvements in LWR were also noted when using ZEP50A on NuFlare VSB systems. Future work will determine if these low values can be achieved when writing full field templates with high resolution resists on VSB pattern generators. ACKNOWLEDGMENTS The authors appreciate the support of S. V. Sreenivasan and Mark Melliar-Smith. This work was partially funded by DARPA (APC Grant H$00-06--0005) and NIST-ATP. REFERENCES. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S. V. Sreenivasan, J. Ekerdt, and C. G. Willson, Proc. SPIE, Emerging Lithographic Technologies III, 79 (999).. T. C. Bailey, D. J. Resnick, D. Mancini, K. J. Nordquist, W. J. Dauksher, E. Ainley, A. Talin, K. Gehoski, J. H. Baker, B. J. Choi, S. Johnson, M. Colburn, S. V. Sreenivasan, J. G. Ekerdt, and C. G. Willson, Microelectronic Engineering 6-6 (00) 6-67.. D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, E. S. Ainley, K. A. Gehoski, J. H. Baker, T. C. Bailey, B. J. Choi, S. C. Johnson; S. V. Sreenivasan, J. G. Ekerdt; C. Grant Willson, Proc. SPIE, 688, 05 (00).. G. M. Schmid, E. Thompson, N. Stacey, D. J. Resnick, D. L. Olynick, E. H. Anderson, Proc. SPIE, 657, (007). 5. L. Jeff Myron, E. Thompson, I. McMackin, D. J. Resnick, T. Kitamura, T. Hasebe, S. Nakazawa, T. Tokumoto, E. Ainley, K. Nordquist, and W. J. Dauksher, Proc. SPIE 65, (006). 6. M. Colburn, T. Bailey, B. J. Choi, J. G. Ekerdt, S. V. Sreenivasan, Solid State Technology, 67, June 00. 7. M. Irmscher, J. Butschke, G. Hess; C. Koepernik, F. Letzkus, M. Renno, H. Sailer, H. Schulz, A. Schwersenz, E. Thompson, Proc. SPIE, 65, (006). 8. D. J. Resnick, E. Thompson, L. Jeff Myron, G. M. Schmid, Microlithography World, Feb. 006. 9. A. R. Neureuther, R. F. W. Pease, L. Yuan, K. Baghbani Parizi, H. Esfandyarpour, W. J. Poppe, J. A. Liddle, E. H. Anderson, J. Vac. Sci. Technol. B, 90, Jul/Aug 006. 0. G. M. Gallatin, Proc. SPIE 575, 8 (005).. K. Gopalakrishnan, R. S. Shenoy, C. T. Rettner, R. S. King, Y. Zhang, B. Kurdi, L. D. Bozano, J. J. Welser, M. E. Rothwell, M. Jurich, M. I. Sanchez, M. Hernandez, P. M. Rice, W. P. Risk, and H. K. Wickramasinghe, IEDM Tech. Dig., 005, pp. 7-7.. R. Shenoy, K. Gopalakrishnan, C. Rettner, L. Bozano, R. King, B. Kurdi, and H. Wickramasinghe, Proc. Symp. VLSI Technology, June 006, pp.0-.. S. Yoshitake, H. Sunaoshi, K. Yasui, H. Kobayashi, T. Sato, O. Nagarekawa, E. Thompson, G. Schmid, D. J. Resnick, to be published in the 7th Annual SPIE Photomask Proceedings, 007.