Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Similar documents
Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Figure.1 Clock signal II. SYSTEM ANALYSIS

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Why FPGAs? FPGA Overview. Why FPGAs?

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Modeling Latches and Flip-flops

ISSN:

CHAPTER 11 LATCHES AND FLIP-FLOPS

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

RELATED WORK Integrated circuits and programmable devices

Digital Electronics II 2016 Imperial College London Page 1 of 8

ECE 263 Digital Systems, Fall 2015

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

LUT Optimization for Memory Based Computation using Modified OMS Technique

Retiming Sequential Circuits for Low Power

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

An Efficient High Speed Wallace Tree Multiplier

Polar Decoder PD-MS 1.1

A Low Power Delay Buffer Using Gated Driver Tree

Power Optimization by Using Multi-Bit Flip-Flops

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

2.6 Reset Design Strategy

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

D Latch (Transparent Latch)

A Power Efficient Flip Flop by using 90nm Technology

AbhijeetKhandale. H R Bhagyalakshmi

Modeling Latches and Flip-flops

Design and Evaluation of a Low-Power UART-Protocol Deserializer

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Logic Design. Flip Flops, Registers and Counters

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

FPGA Implementation of Sequential Logic

FPGA Design with VHDL

L12: Reconfigurable Logic Architectures

FPGA Hardware Resource Specific Optimal Design for FIR Filters

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Unit 11. Latches and Flip-Flops

Combinational vs Sequential

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Low Power D Flip Flop Using Static Pass Transistor Logic

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Synchronous Sequential Logic

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Hardware Design I Chap. 5 Memory elements

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

L11/12: Reconfigurable Logic Architectures

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

Solar Power for Small Hall

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

II. ANALYSIS I. INTRODUCTION

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Implementation of UART with BIST Technique

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Distributed Arithmetic Unit Design for Fir Filter

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Sequential Circuit Design: Principle

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Principles of Computer Architecture. Appendix A: Digital Logic

Research Article Low Power 256-bit Modified Carry Select Adder

Unit-5 Sequential Circuits - 1

Design of a Novel Glitch-Free Integrated Clock Gating Cell for High Reliability. A Thesis Presented. Tasnuva Noor. The Graduate School

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

An MFA Binary Counter for Low Power Application

Transcription:

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR Engg. College N.Udaya Kumar 4 K.Bala Sindhuri 5 Professor Asst. Professor SRKR Engg. College SRKR Engg. College Abstract--Modern world s major concern is to minimize power consumption by the best way possible. Usage of clock gating technique to minimize dynamic power in sequential circuit is one of the best methods. This paper concentrates on the methods how to restrict the power supply to required sequential circuits rather than supplying to all the components. The design has four RAMs connected to a Demultiplexer along with the clock gating circuit. Their performance on various Xilinx field programmable array platforms has been discussed to emphasis the effect of technique. Total, dynamic and quiescent power of RAM level application of clock gating technique is analyzed. Xilinx ISE design suit 12.2 is used to synthesize, implement and simulate the design. Index terms--clock gating, Dynamic power, Power reduction, RAM, DEMUX. I. INTRODUCTION Gadgets became part and parcel of modern man.the effectiveness of electronic devices is maximum if the size, computational complexity and the power consumption are minimum. In past, concentration was on area, cost. But now power consumption is the major concern. There are five standard techniques to reduce the dynamic power [1]. 1. Decrease the average logic-switching frequency. 2. Reduce the propagation of switching activity. 3. Reduce dynamic power by clock gating technique. 4. Lower the capacitance of Routing network, especially for high frequency signals. 5. Use low voltage Input-Output standards. Almost 30-70% total power dissipation is due to clock signal in synchronous designs [2]. The synchronous design operates at a high frequency that drives a large load because it has to reach many sequential elements. Clock signal do not perform any computation. It is mainly for synchronization. So, it is to be considered the Dynamic power reduction by clock gating technique to eliminate unwanted power loss due to clock signal. Clockgating is a predominant technique to reduce the dynamic power of sequential circuit. Power is saved by clock gating because it adds more logic to prune the clock tree. It can be applied at various levels: system architecture, block design, logic design and gates [3]-[4]. The different techniques to reduce the dynamic power by clock gating technique are [5]:- 1. AND gate based clock gating technique. 2. Latch gate based clock gating technique. 3. Flip flop based clock gating technique. 4. MUX based clock gating technique. In this paper, Latch free AND gate based clock gating technique is used. This paper presents application of clock gating to four RAM s of various sizes. Different aspects of these modules like simulation, performance results have been shown in this paper. As entire memory is designed to be a positive edge triggered system, It is considered here a Latch free AND gate based clock gating. 467

In the next section, the proposed methodology is presented followed by results, power comparisons between circuit with clock gating and without clock gating. The modified design by using clock gating technique is shown in Fig.3:- II. PROPOSED METHODOLOGY The block diagram of Latch free AND gate based clock gating circuit which is used as a clock gating circuit is shown in Fig 1. Fig.1.Latch free AND gate based clock gating circuit As mentioned, two designs one with clock gating and another without clock gating are beenexplained in this section. The traditional power dissipation in sequential logic circuits which does not consist is shown in Fig.2:- Fig.3.Power dissipation in RAM with CG In this technique the design is tantamount to the previous one except in the case of clock. Here the clock input is not directly connected.instead a AND based clock gating circuit which is connected to the circuit using Demultiplexer.For a certain instance of time the clock signal can be supplied to the desired RAM, instead of continuously sending clock signals to all the RAM s. After simulating the design using the Xilinx ISE design suit 12.2, it is estimated that 97420 kilobytes of memory is used, maximum frequency is 68.138 MHz and Maximum path delay from any node is 3.564ns. Supply Power Summary(mW): Fig.2.Power dissipation in RAM without CG In this design, the system clock is directly connected to all Rams. By using the decoder the required RAM can be selected. For instance, if we intend to perform the computations on RAM-2, it is sufficient to send clock signal to RAM-2 only. But here, the clock signal is being sent to all RAMs. In this way power is being unnecessarily dissipated. To avoid this unnecessary power dissipation, clock gating technique is implemented. Total Supply Power 83.04 Dynamic Supply Power 2.03 Quiescent Supply Power 81.01 Design summary: Logic utilization Total no. of slice registers No. of 4 input LUT s No. of bonded IOB Used Available Utilization 388 9312 4% 359 9312 3% 63 232 27% 468

III. RESULTS:- Demultiplexer:- RAM(writing):- Here we are storing the data 10101010 at the address 00000001 in the RAM-1. Here the input din willact as enable pin to the demultiplexer. sel is selection pin which selects the desired RAM.It is a 2 bit input. Here, 01 is given to sel input to select the RAM-2. Inputs:-Outputs:- cs2=0 cs3=0 cs0=0 cs1=1 Inputs:- Output:- cs0=1data_out[7:0]=00000000 we=1 oe=0 Fig.6.Simulation results of RAM(write operation) Fig.4.Simulation results of Demultiplexer Clock gating circuit:- Here one of the inputs (clk) is clock signal. The other one is enable signal. These two inputs are given to AND gate. Inputs:-Outputs:- cs0=1 RAM (reading):- Here we are reading the data 10101010 from the address 00000001 in the RAM-1. Inputs:-Output:- cs0=1 data_out[7:0]=10101010 we=0 oe=1 Fig.5.Simulation results of AND based clockgating Fig.7.Simulation results of RAM(Read process) 469

Main program(writing):- Out of the four RAM s, we are selecting RAM-1 to store the data 10101010 at the address 00000001. Inputs:-Output:- data_out[7:0]=00000000 we=1 oe=0 Fig.9.Simulation results of main program (write process) IV. CONCLUSION Fig.8.Simulation results of main program (write process) Main program(reading):- Here we are reading the data 10101010 at address 00000001 from RAM-1. Inputs:- Outputs:- data_out[7:0]=10101010 we=0 oe=1 The main aim of this work is to minimize power in synchronous designs by the removal of undesired switching activity using clock gating. This paper has been proposed that clock gating technique has been applied at the RAM level. The selection of one RAM among four RAMs has been done usingdemultiplexer and the clock is given to that RAM using a clock gating circuit and another demultiplexer. The amout of total, dynamic and queiscent power obtained by the choice of clock gating technique, clock frequency and method of this technique is presented.clock gating technique can be very handy in designing low power consuming memory by pruning the clock tree. The simulation and synthesis of the design are carried on Xilinx ISE design suit 12.2. V. REFERENCES [1]Power-Aware FPGA Design www.microsemi.com/soc/documents/pwer_awa re_wp.pdf [2] V.G. Oklobdzija, Digital System Clock-High performance and Low-Power Aspects. New York, NY, USA:Wiley, 2003. [3] L. Benin, A Boglilo, G. De Micheli, A survey of design techniques for system-level dynamic power management, IEEE Transactions on Very Large Scale Integration(VLSI) Systems,vol.8,no.3,pp.299-316,June 2000. 470

[4] Hosny M.S.,YuejianWu, Lower Power Clocking Strategies in deep submicron technologies, IEEE International Conference on Integrated Circuit Design and Technology and Tutorial,ICICDT 2008,pp.143-146,2-4 June 2008. [5]Himanshu Chaudhary,Nitish Goyal, Nagendra Sah, Dynamic Power Reduction Using Clock Gating: A Review, IJECT Vol. 6, Issue 1, Jan - March 2015 471