PROGRESS OF UV-NIL TEMPLATE MAKING

Similar documents
Nano-Imprint Lithography Infrastructure: Imprint Templates

The Challenges in Making NIL Master Templates

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

~ 50, ,000 ~ $500K

Auto classification and simulation of mask defects using SEM and CAD images

The Transition to Patterned Media in Hard Disk Drives

Multi-Shaped E-Beam Technology for Mask Writing

Approaching Zero Etch Bias at Cr Etch Process

Self-Aligned Double Patterning for 3xnm Flash Production

Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Readiness and Challenges of EUV Mask

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Wafer defects can t hide from

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

EUV Blank Inspection

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Overcoming Challenges in 3D NAND Volume Manufacturing

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

Electron Beam Technology

Lossless Compression Algorithms for Direct- Write Lithography Systems

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

High Density Optical Connector with Unibody Lensed Resin Ferrule

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Wafer Thinning and Thru-Silicon Vias

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

Polygon Scanners Capabilities, Applications and System integration. considerations

Advanced Display Manufacturing Technology

Development of OLED Lighting Applications Using Phosphorescent Emission System

1. Publishable summary

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button!

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Characterization and improvement of unpatterned wafer defect review on SEMs

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

SEMICONDUCTOR TECHNOLOGY -CMOS-

Electron Beam Technology

Defense Technical Information Center Compilation Part Notice

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

DATA SHEET PART NO. : MOA20UB018GJ REV : A / 1

NMOS linear image sensor

SEMICONDUCTOR TECHNOLOGY -CMOS-

Organic light emitting diode (OLED) displays

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

Integrated Circuit for Musical Instrument Tuners

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Technology Overview LTCC

Layout Analysis Analog Block

2.1. Log on to the TUMI system (you cannot proceed further until this is done).

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers

NONDESTRUCTIVE INSPECTION OF A COMPOSITE MATERIAL SAMPLE USING A LASER ULTRASONICS SYSTEM WITH A BEAM HOMOGENIZER

Standard Operating Procedure of nanoir2-s

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

In-process inspection: Inspector technology and concept

B-AFM. v East 33rd St., Signal Hill, CA (888)

Failure Analysis Technology for Advanced Devices

The PHI VersaProbe operates with two essential software programs: PHI Summitt and Vacuum Watcher. A third program, MultiPak, handles data reduction.

Because Innovation Matters

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

METROTOM. Visible Metrology.

Automatic Defect Recognition in Industrial Applications

I n d u s t r i a l M e t r o l o g y f r o m C a r l Z e i s s. METROTOM. Visible Metrology.

Project TRIPLE-S Microscope: Contribution of AMG Technology Ltd.

Beam test of the QMB6 calibration board and HBU0 prototype

Nanotechnology Solutions Partner

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Screen investigations for low energetic electron beams at PITZ

INSTA-DE 2 SCREEN SERIES

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

DEPFET Active Pixel Sensors for the ILC

INCA ENERGY EDS TRAINING. System Block Diagram. INCA Energy Software. Xiang Yang EM SMU. Navigators. Point & ID Navigator.

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

Layout Decompression Chip for Maskless Lithography

A Novel Wire Scanner for High Intensity Pulsed Beams *

24. Scaling, Economics, SOI Technology

semi-automated scanning

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full.

Transcription:

PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon Printing Co., Ltd. 2-2-1 Fukuoka, Fujimino-shi, Saitama, Japan 356-8507 Phone: +81-49-278-1699 FAX: +81-49-278-1698 E-mail: hiraka_t@mail.micro.dnp.co.jp ABSTRACT Nano-imprint lithography (NIL) has been counted as one of the lithography candidates for node and beyond and has showed excellent resolution capability with remarkable low line edge roughness that is attracting many researchers in the industry who were searching for the finest patterning technology. Therefore, recently we have been focusing on the resolution improvement on the NIL templates with the 100keV acceleration voltage spot beam (SB) EB writer and the 50keV acceleration voltage variable shaped beam (VSB) EB writer. The 100keV SB writers have high resolution capability, but they show fatally low throughput if we need full chip writing. Usually templates for resolution pioneers needed just a small field (several hundred microns square or so), but recently requirements for full chip templates are increasing. For full chip writing, we have also started the resolution improvement with the 50keV VSB writers used in current 4X photomask manufacturing. The 50keV VSB writers could generate full chip pattern in a reasonable time though resolution limits are inferior to that with the 100keV SB writers. In this paper, we will show latest results with both the 100keV SB and the 50keV VSB EB writers. With the 100keV SB EB writer, we have achieved down to hp15nm resolution for line and space pattern, but found that to achieve further improvement, an innovation in pattern generation method or material would be inevitable. With the 50keV VSB EB writer, we have achieved down to hp22nm resolution for line and space pattern. Though NIL has excellent resolution capability, solutions for defect inspection and repair are not clearly shown yet. In this paper, we will show preliminary inspection results with an EB inspection tool. We tested an EB inspection tool by Hermes Microvision, Inc. (HMI), which was originally developed for and are currently used as a wafer inspection tool, and now have been started to seek the application for mask use, using a programmed defect template. Key words : NIL, template, resolution, defects inspection 1. INTRODUCTION NIL templates have 1X patterns and are required manufacturing process with higher resolution compared to that of the 4X photomasks. Table 1 shows the ITRS requirements on masks for NIL, EUV, and optical lithography. Although the patterns on the NIL templates will be made by the EB writing process which will also be used for EUV masks or advanced photomasks, the resolution should be finer, and close to 20nm in year 2013. The minimum allowed defect size on the template is also be tough and is different from other masks, and many efforts should be paid. For the NIL template pattern making, we have been evaluating two different processes, one with the 100keV SB EB writer, and the other with the 50keV VSB EB writers 1-5. The 100keV SB writer has high resolution capability. But it has a fatally low throughput for full field writing. On the other hand, the 50keV VSB writer is actually used in today s photomask manufacturing, and can write full field in a reasonable time as is showed figure 1. However, they are designed for 4X pattern, and show relatively low resolution capability compared to the 100keV SB writer. Photomask and Next-Generation Lithography Mask Technology XVI, edited by Kunihiro Hosono Proc. of SPIE Vol. 7379, 73792S 2009 SPIE CCC code: 0277-786X/09/$18 doi: 10.1117/12.824342 Proc. of SPIE Vol. 7379 73792S-1

2. EXPERIMENTAL Figure 2 shows our manufacturing process flow of imprint templates. A thin chrome film was coated between the EB resist and the quartz substrate. The thin chrome enabled us to make the resist thickness thinner compared to the 4X photomask resists, and made the resolution remarkably finer. The thin chrome might also reduce charge up problem during EB writing, and decrease resist peeling caused by poor adhesion between resist and quartz. A similar process to that for chromeless mask was used to make the fine pattern on the quartz substrate. The substrate dimension was of a 6 square, 250 mil thick format, which have been familiar in conventional photomask, and we could use the same tools as we were using in the photomask manufacturing line. Usually a 65mm square format, as was introduced by Molecular Imprints, Inc., was used in the imprint process, and for this format, we added a back-end process of template manufacturing, where the 6 substrates were cut and polished into 65mm square. A pedestal was also formed during the back-end process. The back-end process is described in figure 3. First we formed a resist pattern for the pedestal making by alignment writing on a re-coated resist layer. The pedestal was made by wet etch of the surroundings using the resist pattern. Next, the dicing and polishing process cut the 6 plate into four 65mm square plates. Until this process, the chrome and the secondly coated resist remained. After stripping the resist and chrome, the templates were coated with anti-sticking coatings, if needed. Table 2 shows the experimental tools and material. We used the JBX9300 (JEOL) as the 100keV SB EB writer. As the 50keV VSB EB writers, machines used in current 4X photomask manufacturing were used. A positive tone non- CAR (non-chemically amplified resist) was used as the resist material. For measurement tools, we used LWM9000 (Vistec) CD-SEM, LMS IPRO (Vistec) image placement measurement tool, Dimension X3D (Veeco) AFM, ULTRA (Carl Zeiss) cross sectional SEM, and H-7650 (Hitachi High-Technologies) TEM. Imprint performance test was done by an Imprio250 (Molecular Imprints Inc) tool. 3. RESULTS AND DISCUSSION 3-1. Resolution improvement results with 100 kev SB EB writer We optimized the process parameters and conditions with the 100keV SB EB writer process. Figure 4 shows the results of line and space pattern and Figure 5 shows results of the dense holes and dots pattern of our improved and released process. The resolution limit is hp16nm for line and spaces, hp20nm for dense holes pattern, and hp26nm for dense dots pattern. Figure 6 is the resist images of our latest improvements for further resolution improvement trial by changing the development condition which is not yet released. We can see that around 15nm seems to be the limitation of this process, and we are considering that whether or both of a new resist system and a new writing strategy might be necessary for further improvement. 3-2. Resolution improvement results with 50 kev VSB EB writer Figures 7 and 8 show templates made by a 50keV VSB EB writer. As a result of having improved writing condition, process condition, and material thickness, we could achieve hp22nm (partially resolved) for lines and space pattern and hp26nm for dense holes pattern. In terms of stability as well as uniformity (short range), this high resolution process with the 50keV VSB EB writer is not yet sufficient and we are on the way of fixing. To improve the resolution of the 50keV process, we are planning to test the newest EB writer which will be used for the 32nm node 4x photomasks in the coming years. We have to match the requirements for full field NIL templates, and are planning to collect initial sets of data. Proc. of SPIE Vol. 7379 73792S-2

3-3. NIL template performance results Figure 9 shows the critical dimension (CD) uniformity results in the active area (30x26mm) of NIL templates. The CD was measured at dense space patterns. The CD uniformity results were 1.7nm and 1.2nm in 3σ with 50keV VSB EB writer and 100keV SB EB writer, respectively. These values met the ITRS requirement of 3.1nm. Figure 10 shows the quartz depth uniformity result in the active area. The quartz depth was measured at 32nm trench pattern, where the average trench depth was 81.6nm. The quartz depth uniformity was 0.8nm in 3 σ and met the ITRS requirement of 2.1nm. Figure 11 shows the image placement accuracy results in the active area. The image placement accuracy (3σ) results were X: 2.9nm, Y: 4.2nm, and X: 6.0nm, Y: 6.0nm with 50keV VSB EB writer and 100keV SB EB writer, respectively. These values did not meet the ITRS requirement of 3.7nm. We believe the image placement accuracy result with 50keV VSB EB writer show a sufficient value for the time being, and will be improved along with the coming technology nodes 4X photomask manufacturing. Figure 12 shows the line edge roughness (LER) results. The LERs were measured both at and at line and space pattern. The 100keV SB template showed better results, because of the higher resolution capability. These performances should be improved to match with the future ITRS requirements, but at this stage of the development, we believe the values are acceptable. Figure 13 shows the profiles of the template patterns observed with an AFM. An InSight 3D system of Veeco Instruments Inc. was used. A sharp tip made of high density carbon material was used to observe the fine template patterns. We can see that with a commercially available SS-ISC-3D tip, we can reach the bottom of a 23.2nm space. This could make the future assurance of the template possible, not only for the 2D-CD but also for the 3D profile of the template pattern. Figure 14 shows the hp22nm line and space pattern profile observed with a TEM. The TEM photo was taken with the thin chrome on top of the template. From TEM image, we could get accurate profile of the template pattern. The AFM profile should be calibrated with whether the SEM or TEM photo, and our next step should be the discussion of how to do the metrology to assure the template CD, by verifying with the imprint result, taking the profile into account. 3-4. NIL template EB-inspection trial results We preliminarily have tried template defect inspection with an EB inspection method. As first step of EB inspection evaluation, we have made a programmed defect template. Figure 15 shows parts of the SEM images of defects on the programmed defects template made by 100keV SB EB writer. We could make small defects including both excessive and missing defects on the line and space pattern, and hole pattern. Using the programmed defect template, we tried defect inspection with an EB mask inspection system being developed by Hermes Microvision, Inc. (HMI). Figure 16 shows the SEM images of defects on the template defects detected by the HMI EB inspection system. Preliminary evaluation with HMI EB inspection system showed promising results. We will continue to evaluate the EB inspection system for NIL templates and will report on our next paper. 3-5. NIL imprinted pattern results Figure 17 shows the UV-NIL process flow and Figure 18 shows the imprinted wafer patterns. The imprint was done with a Molecular Imprints, Inc. NIL tool with their materials. The template patterns were well printed onto the wafer down to hp22nm with excellent fidelity. Proc. of SPIE Vol. 7379 73792S-3

4. SUMMARY We have been developing NIL templates using and modifying current photomask manufacturing technology. Line and space test pattern down to hp15nm was resolved with a 100keV spot beam EB writer. With a 50keV variable shaped beam EB writer, we could resolve line and space pattern down to hp22nm. Full field pattern generating technique compatible with high resolution with a 50keV VSB EB writer should be developed, focusing on CD controllability and stability over the full field. We have preliminarily tested template defect inspection with an EB inspection method using a programmed defect template. With an HMI EB inspection system we have seen promising results. ACKNOWLEDGEMENT The authors would like to thank Shusuke Yoshitake (NuFlare Technology, Inc.) for discussion and assistance in EB writing, Andrew Lopez and Sean Hand (Veeco Instruments, Inc.) for sharing AFM results, Jack Jau, Hong Xiao and Chiyan Kuan (Hermes Microvision, Inc.) for sharing inspection results, and MII (Molecular Imprints, Inc.) for sharing imprint results. We also express our thanks to DNP members involved in this work REFFERENCE 1. S. Yusa, T. Hiraka, A. Kobiki, S. Sasaki, K. Itoh, N. Toyama, H. Mohri, N. Hayashi, Progress of NIL template making, Proceeding of SPIE, Vol. 6607, p. 66073H,2007. 2. T. Hiraka, S. Yusa, A. Fujii, S. Sasaki, K. Itoh, N. Toyama, M. Kurihara, H. Mohri, N. Hayashi, UV-NIL template for the 22nm node and beyond, Proceeding of SPIE, Vol.6730, p.67305p, 2007. 3. S. Yusa, T. Hiraka, J. Mizuochi, A. Fujii, Y. Sakai, K. Kuriyama, M. Sakaki, S. Sasaki, Y. Morikawa, H. Mohri, N. Hayashi, Progress of NIL template making, Proceeding of EMLC2008, p.23-34, 2008. 4. A. Fujii, Y. Sakai, J. Mizuochi, T. Hiraka, S. Yusa, K. Kuriyama, M. Sakaki, T. Sutou, S. Sasaki, Y. Morikawa, H. Mohri, N. Hayashi, UV NIL mask making and imprint evaluation, Proceeding of SPIE, Vol.7028, p.70281w, 2008. 5. S. Sasaki, T. Hiraka, J. Mizuochi, A. Fujii, Y. Sakai, T. Sutou, S. Yusa, K. Kuriyama, M. Sakaki, Y. Morikawa, H. Mohri, and N. Hayashi, UV NIL template making and imprint evaluation, Proceeding of SPIE, Vol.7122, p. 71223P-1, Proc. of SPIE Vol. 7379 73792S-4

Table 1 The requirements on masks from ITRS2008 Year of Production 2013 DRAM 1/2pitch 32 Flash 1/2pitch 25 MPU Gate in resist 25 DRAM/FRASH CD control (3σ) 3.3 Gate CD control (3σ) 1.9 Overlay (3σ) 6.4 MASK (template) requirement NIL EUVL Optical Magnification 1 4 4 MASK nominal image size 25 100 70 Image placement (nm, multipoint) 3.7 3.8 3.8 CDU Isolated lines (MPU gates) 1.8 2.8 1.4 CDU Dense line DRAM/FRASH(half pitch) 3.1 4.6 2.4 CDU Contact/vias 3.5 3.5 1.3 Etch depth uniformity 2.1-3.2 Trench width roughness (3σ) 2.2 Defect size impacting CD x,y 2.5 25 25 Defect size impacting CD z 5.1 Temporary full field chip Data area: 32 x 26mm Condition Writing data for positive resist (non-car) 100keV SB : 1month 50keV VSB : 22hours Figure 1 Writing time comparison with 50keV VSB writer and 100keV SB writer Proc. of SPIE Vol. 7379 73792S-5

Electron beam (EB) Resist Chrome (Cr) Quartz (Qz) Exposure Development Cr etching Qz etching Resist stripping Figure 2 Manufacturing process flow of UV-NIL template Cr stripping Pedestal etching 15um Dice & Polish Resist, Cr stripping Anti-Sticking layer, if needed Figure 3 Manufacturing process flow for back-end process of 65mm templates Table 2 Experimental tools and material EB writing tool Resist material Measurement tools Imprint tool 100keV Spot Beam writer : JBX9300 50keV Variable Shaped Beam (VSB) writer : photomask production tool Non-CAR (positive-tone) CD-SEM (LWM9000) Image placement (LMS IPRO) AFM (Dimension X3D) Cross sectional SEM (Ultra) TEM (H-7650) Imprio 250 Proc. of SPIE Vol. 7379 73792S-6

L/S pattern @ 150k hp22nm hp20nm hp18nm hp16nm Figure 4 Quartz SEM images for line and space pattern with the 100keV SB writer Hole pattern hp28nm hp20nm hp18nm hp30nm hp28nm hp26nm Pillar pattern Figure 5 Quartz SEM images for dense holes and dots pattern with the 100keV SB writer Top view resist images Chrome images after quartz etching Hilunhill Cross sectional resist images fli1illl UILIIIfIIII hffhiflhiliij 'ILIIHIUIJL hp16nm hp15nm Top view resist images hp16nm hp14nm note: only partially resolved hp15nm hp13nm Further improvement hp15nm hp14nm hp13nm Figure 6 Resist SEM images of our latest improvements with 100keV SB writer Proc. of SPIE Vol. 7379 73792S-7

L/S pattern hp28nm note: only partially resolved hp26nm hp22nm Hole pattern hp36nm hp28nm hp26nm Figure 7 Quartz SEM images with 50keV VSB writer SRAM test patterns tij.wi jil1 t'l!u. 5iirt JIIU Tht(.H!U. hp36nm hp40nm hp28nm Figure 8 Quartz SEM SRAM pattern images with 50keV VSB writer Proc. of SPIE Vol. 7379 73792S-8

*Measurement feature : dense line 50keV VSB Area 32 x 26 mm ( 5 x 5 arrays) 100keV SB Area 30 x 24 mm ( 6 x 5 arrays) Average Range 3σ : 30.4 nm : 2.1 nm : 1.7 nm Average Range 3σ : 29.9 nm : 1.3 nm : 1.2 nm ITRS 3σ : 3.1nm Figure 9 Critical dimension uniformity results with 50keV VSB and 100keV SB EB writer Area 30 x 24 mm ( 6 x 5 arrays) *Measurement feature : 32nm iso space Average : 81.6nm Range : 1.2nm 3σ : 0.8nm ITRS 3σ : 2.1nm Figure 10 Quartz depth uniformity result 50keV VSB Area 30 x 26 mm ( 11 x 11 arrays) 100keV SB Area 28 x 24 mm ( 4 x 3 arrays) [mm] [mm] [mm] [mm] X Y X Y 3σ 2.9 4.2 3σ 6.0 6.0 Min -2.0-3.0 Min -2.0-3.0 Max 2.0 4.0 Max 4.0 4.0 ITRS 3σ : 3.7nm Figure 11 Image placement accuracy results with 50keV VSB and 100keV SB EB writer Proc. of SPIE Vol. 7379 73792S-9

50keV VSB 100keV SB CD LER 3σ : 30.2nm : 4.3nm CD LER 3σ : 32.8nm : 2.9nm CD LER 3σ : 24.1nm : 3.4nm CD LER 3σ : 24.1nm : 2.5nm Figure 12 Line edge roughness results with 50keV VSB and 100keV SB EB writer Tool : InSight 3DAFM (Veeco Instruments Inc.) Measurement mode : DT mode CD-SEM images hp22nm 31.6nm 21.6nm 23.2nm SS-ISC-3D (High density carbon) Cross-section SEM images *SEM photo by courtesy of Team Nanotech Gmbh Top position : 25 from top SWA I AFM profile Left SWA Right SWA Depth : 82.7 : 83.3 : 61.1nm Left SWA Right SWA Depth : 82.7 : 83.3 : 61.1nm Left SWA Right SWA Depth : 81.2 : 80.1 : 52.6nm Quartz depth Bottom position : 25 from bottom Figure 13 Profiles of line and space pattern on template observed with an AFM *Measurement feature : hp22nm L/S pattern Chrome Quartz Top view SEM image @ 150k Cross sectional SEM image @ 200k TEM images @ 100k From TEM image ¾ Average of SWA : 84.0 ¾ Depth of quartz : 61.4nm ¾ Middle CD ( 50% from top) of central line : 22.2nm ¾ Bottom shape of quartz is rounding Figure 14 Profile of hp22nm line and space pattern on template observed with a TEM Proc. of SPIE Vol. 7379 73792S-10

Defects on L/S pattern Defects on hole pattern Source data Source data hp26nm hp28nm hp28nm : Programmed defect on template Figure 15 SEM images of defect on the programmed defects template Template inspection tool : An electron beam based mask inspection system that's being developed by Hermes Microvision, Inc. EB inspection SEM images 24nm defect on 40nm L&S 20nm defect on 40nm Hole Array Note : These are the smallest defects formed on the programmed defect template. Inspection tool capability should be confirmed quit smaller defects. 12nm defect on 22nm L&S 12nm defect on 22nm Hole Array : Detected programmed defect on template Figure 16 SEM images of programmed defects on the programmed defects template detected by EB inspection system Proc. of SPIE Vol. 7379 73792S-11

Dispense template ( Qz ) Monomer Transfer layer Substrate Imprint UV UV Exposure Separate Transfer Etch Figure 17 Process flow of nano imprint lithography and MII imprint tool 32nm images 50keV template SRAM pattern OfyO OiJSy[\O io 000 000 000 hp22nm images 100keV template LS pattern LS pattern Pillar pattern In I1i I l,inrssei 44kv XODkvO 14)0 108.100 Figure 18 Imprint pattern on wafer SEM images Proc. of SPIE Vol. 7379 73792S-12