Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Similar documents
Power Optimization by Using Multi-Bit Flip-Flops

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Figure.1 Clock signal II. SYSTEM ANALYSIS

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A Power Efficient Flip Flop by using 90nm Technology

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

A Low-Power CMOS Flip-Flop for High Performance Processors

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 ISSN

A Low Power Delay Buffer Using Gated Driver Tree

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LFSR Counter Implementation in CMOS VLSI

CMOS DESIGN OF FLIP-FLOP ON 120nm

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Low Power D Flip Flop Using Static Pass Transistor Logic

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

P.Akila 1. P a g e 60

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Partial Bus Specific Clock Gating With DPL Based DDFF Design

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Design of an Efficient Low Power Multi Modulus Prescaler

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

A Novel Approach for Auto Clock Gating of Flip-Flops

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

IN DIGITAL transmission systems, there are always scramblers

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Low Power Single Edge Triggered D Flip Flop Based Shift Registers Using 32nm Technology

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Optimized Magnetic Flip-Flop Combined With Flash Architecture for Memory Unit Based On Sleep Transistor

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

ISSN Vol.08,Issue.24, December-2016, Pages:

Sequential Logic. References:

Chapter 4. Logic Design

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

SIC Vector Generation Using Test per Clock and Test per Scan

Transcription:

Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013, pg.268 278 RESEARCH ARTICLE ISSN 2320 088X Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Rampriya.R 1, Marutharaj.T 2 ¹PG Scholar/ M.E VLSI Design, TKSCT, Theni, India ²Assistant Professor, Department of ECE, TKCST, Theni, India 1 rampriya.28.1991@gmail.com; 2 maruthuraj@gmail.com Abstract In Integrated circuits a gargantuan portion of chip power is expended by clocking systems which comprises of timing elements such as flipflops, latches and clock distribution network. This paper enumerates power efficient design of shift registers using TSPC flipflops along with Clock and Power gating integration. Clock gating and power gating proves to be very effective solutions for reducing dynamic and active leakage power respectively. The two techniques are coupled in such a way that the clock gating information is used to drive the control signal of power-gating circuitry. In this paper, an activity driven fine-grained clock and power gating is proposed. First, a technique named Optimized Bus-Specific-Clock-Gating (OBSC) is introduced which reduces the problem of gated flipflop selection by appropriate selection of subset of flipflops. Then another technique named Run Time Power Gating (RTPG) is proposed for power gating the combinational logics performing redundant operations. The proposed shift registers are designed up to the layout level with 1V Power supply in 90nm technology and simulated using microwind simulations for different clock frequencies and the performance of the shift registers are evaluated by observing the average power, delay and PDP. Keywords Flip Flop; CMOS; TSPC; OBSC; RTPG; PDP I. INTRODUCTION In the past, the major concerns of CMOS VLSI designer were area, performance, cost and reliability with the power consideration of secondary importance. In recent years, designers are striving for small silicon area, low power consumption along with high speed due to ever increasing demand and popularity of portable electronics. Due to increasing use of mobile devices, consumer electronics market demand a stringent constraint on power reduction [2]. Power dissipation in excess is due to dynamic and leakage power with the leakage power having further classification as standby leakage and active leakage. Dynamic power 2013, IJCSMC All Rights Reserved 268

consumption occurs when the circuit has input toggles (operation mode).leakage power dissipation occurs when the circuit doesn t operate (Sleep mode) is referred to as standby leakage. On the other hand, leakage power consumed in operation mode is the active leakage [1]. TSPC (True Single Phase Clock) [4] technique is incorporated for designing D flipflops. TSPC uses only a single clock and two or three clocked transistors in each latch without local inversion of the clock as such inversion requires more clocked devices. Shift Registers in Digital circuits are implemented using this TSPC flipflops and their performances are simulated at different clock frequencies. Clock gating [7-9] is the most common and widely used technique to reduce dynamic power in digital CMOS circuits. Clock gating gates the unnecessary clock toggles of a register. An activity driven optimized bus specific clock gating (OBSC) maximizes dynamic power reduction. It chooses only a subset of flip-flops (FF) to be gated selectively, and hence the problem of gated FF selection is reduced from exponential complexity into linear. After the OBSC is applied to the design, the components performing redundant operations during the clock gated period are determined. Power gating is the dominant technique to reduce standby leakage power. In order to distinguish from traditional Power gating, the power gating that minimizes active leakage power in the operation mode is referred to as Run Time Power Gating. During the clock gated period, RTPG puts the components that are performing redundant operations into sleep [10].Thus, the integration of CG and RTPG is achieved with simultaneous reduction in dynamic and active leakage power [8]. This paper is organized as follows: Section II explains the basics of TSPC D flipflop and Shift registers. Section III describes the proposed OBSC and concepts of RTPG. Section IV explains the details on how to implement PG after OBSC. Section V provides the simulation results in 90nm CMOS technology to verify the performance of the proposed circuit. Finally, conclusion is provided in section VI. II. TSPC D FLIP FLOP SHIFT REGISTERS A. TSPC D FLIPFLOP: Flip-flops (FFs) are the basic storage elements used extensively in all kinds of digital designs. In particular, digital designs nowadays often adopt intensive pipelining techniques and employ many FF-rich modules. It is also estimated that the power consumption of the clock system, which consists of clock distribution networks and storage elements, is as high as 20% 45% of the total system power. Delay flipflop(dff) forms the integral part of a digital system to construct the sequential part of the circuit to achieve low power and low area. TSPC (True Single Phase Clock) circuit technique uses only a single clock and two to three clocked transistors. In each latch without local inversion of the clock, circuit may require more clocked devices [5]. The schematic of Basic TSPC D-Flipflop is shown in Fig.1. 2013, IJCSMC All Rights Reserved 269

Fig. 1. Schematic of Basic TSPC D-Flip Flop This Basic TSPC clocked latch consists of only 5 transistors such as M1,M2,M3,M4,and M5. The M3 transistor is clocked transistor. The less number of transistors in clocked latch is used to reduce total power consumption of the circuit. This clocked latch is positive edge triggered to reduce threshold voltages. In this latch the output of first stage is split [6]. The clocked transistor is less in this latch, so the power spent on the clocked node is also minimized. It has 3 NMOS transistors and 2 PMOS transistors. It is a D clocked latch D clocked latch is an opaque storage element there is no relationship between the output and present values of the input. Transistors M6 and M7 provides complemented output (NQ). When clock is low, the input is low,m1,m5 transistors are ON. M3,M2,M4 transistors are OFF, so Q becomes ZERO and NQ becomes HIGH. When the clock is high and input is low,m1,m3,m5 transistors are ON.M2,M4 transistors are OFF, so Q becomes ZERO and NQ becomes HIGH. When clock is low, the input is high,m2,m4 transistors are ON. M3,M1,M5 transistors are OFF, so Q becomes ZERO and NQ becomes HIGH. When the clock is high and input is HIGH,M2,M3,M4 transistors are ON.M1,M5 transistors are OFF. so Q becomes HIGH and NQ becomes ZERO. Shift Registers in Digital CMOS Circuits are implemented using this TSPC D Flipflop. B. SHIFT REGISTERS In digital circuits, Shift register is a group of flip-flops used to shift or transfer data from flipflop to flip-flop. It s a group of D flip-flops connected in a chain and the clock of the flip-flops is connected in a synchronous manner. Shift register has 2 basic functions such as; data storage and data movement. Shift register has 4 classifications namely; Serial In Serial Out (SISO), Serial In Parallel Out (SIPO), Parallel In Serial Out(PISO) and Parallel In Parallel Out (PIPO) [3]. III. PROPOSED OBSC & RTPG A. CLOCK GATING Clock gating is a technique that is used to control power dissipated by clock net. In Synchronous digital circuits, clock net is responsible for significant part of power dissipation 2013, IJCSMC All Rights Reserved 270

upto 40%.Clock gating reduces the unwanted switching on the parts of clock net by disabling the clock. Clock gating can save more power by not clocking the register if there is no change in its state [8]. Hence, to reduce power consumption clock gating shuts off the clock while system maintaining its current state as shown in Fig.2 Fig. 2. Conceptual CG Architecture [8] B. PROPOSED OBSC Optimized Bus Specific Clock Gating is very effective technique to maximize dynamic power reduction as shown in fig.3. It chooses only a subset of flip-flops (FF) to be gated selectively, and the problem of gated FF selection is reduced from exponential complexity into linear. It works by comparing the inputs and outputs and gates the clock when they are equal [11]. Considering N FFs in the non-cg circuit, each FF can be chosen as gated or nongated. Hence, 2N CG solutions are possible and the exponential complexity problem is reduced into linear. Assume that all the FFs are chosen to be gated initially, then the problem is in determining which FFs should be excluded from gating [1]. Heuristically, the FF with the maximum output data toggle rate should be excluded from gating first. This is because that maximum output data toggle rate indicates that minimum clock toggles will be gated, thus power will reduce least or even increase if the FF is gated. More formally, the FF with the maximum output toggle rate is excluded from gating first, then the FF with the second largest output toggle rate is excluded and so on until all the FFs are excluded (i.e., the original non CG circuit). Apparently, during the process of exclusion, there will be N+1 possible CG solutions which is linear complexity. Fig. 3. Proposed OBSC Circuit [1] 2013, IJCSMC All Rights Reserved 271

C. POWER GATING As the scaling of MOS Transistor proceeds, leakage power of VLSI chips increases dramatically. Leakage power has been a major concern in portable devices because it wastes energy at standby mode and leads to shortening the battery life. One of the effective techniques to reduce stand by leakage current is power gating in which a power switch is inserted between logic circuits and the ground [8]. In the standby mode, the power switch is turned off to electrically disconnect the logic circuits from the ground, resulting in cutting off the leakage. Power-Gating (PG) is a coarse-grained generalization of the so-called MTCMOS technique, in which a header and/or footer transistor is inserted on the pull-up and/or pulldown network of a CMOS gate, respectively; the transistors are turned off when the gate is in stand-by mode, thus reducing the leakage current that flows in the supply-ground path as shown in Fig.4 Fig. 4. Conceptual PG Architecture [8] D. PROPOSED RTPG Power gating is the most effective available technique to reduce stand by leakage, with benefits that are magnified by the increasing fraction of overall IC lifetime that modules spend in stand-by mode. With technology scaling, active-mode leakage becomes an increasingly significant portion of total dynamic power. The Proposed Run-Time Power Gating (RTPG) to extend the application of power gating to active-mode leakage reduction. Figure 5 shows the basic structure of RTPG. The enable signals of a gated clock design are exploited to control power switches for combinational logic gates. When the clock enable signal is 0, the power switch is turned off and active-mode leakage is cut off. The holders keep the input voltage of non-power-gated circuits [10-11]. 2013, IJCSMC All Rights Reserved 272

Fig 5. Basic structure for Run-time power gating [7] IV. INTEGRATION OF OBSC & RTPG In order to achieve integration of CG and RTPG, apply OBSC technique to the design, then a subset of FFs is clock gated. During the clock gated period, the outputs of the gated FFs are stable. Consequently, those combinational logics whose inputs only depend on gated FF outputs will be inactive and can be power gated as shown in Fig 6.(a). For each output of the power gated cell, whether a connection to primary output presence has to be checked. A holder logic should be added in order to avoid signal floating. Suppose that four out of five FFs are clock gated. The circled cells are completely dependent on the stable gated FF outputs, so they are not active and can be power gated into sleep [1]. However, one input of the XOR gate i is the output of ungated FF A, and one input of the AND gate h is the primary input. Since both the ungated FF output and PI may not be stable during the clock gated period, the XOR gate i and the AND h may be active. So they should not be power gated. In order to avoid floating signal, a holder should be placed at the output of each power gated cell if that output connects to non power gated cells or primary outputs (POs). 2013, IJCSMC All Rights Reserved 273

(a) (b) Fig 6. (a) & (b) Integration of OBSC and RTPG [1] If RTPG has to be applied, a footer (high-vth CMOS transistor) between the actual ground and virtual ground of the power gated cells should be added. After the integration of CG and RTPG, the low power design should look like Fig.6 (b).the enable signal generated from OBSC is used as the sleep signal for the PG. The cells that are totally dependent on gated FF outputs are power gated. Holders are placed between the power gated cells and the non power gated cells so that the non power gated cells can function properly. V. SIMULATION RESULTS AND DISCUSSION The Performance of 8-bit SISO, SIPO,PISO and PIPO Shift Registers with OBSC are evaluated by considering the average power, delay and power delay product(pdp) using TSPC D Flipflop with a supply voltage of 1 V in 90nm CMOS technology. Tables 1-3 furnishes the performance parameters for different range of frequencies from 100MHZ 1 GHZ TABLE I PERFORMANCE AT 100 MHZ CLOCK FREQUENCY SHIFT AVG DELAY PDP(J) REGISTER POWER(µW) (Ps) SISO 47.398 341 1.61627E-14 SIPO 47.398 48 2.27514E-15 PISO 85.404 496 4.2360E-14 PIPO 12.053 115 1.386095E-15 2013, IJCSMC All Rights Reserved 274

TABLE II PERFORMANCE AT 500 MHZ CLOCK FREQUENCY SHIFT AVG DELAY PDP(J) REGISTER POWER(µW) (Ps) SISO 58.837 165 9.708105E-15 SIPO 58.837 21 1.235577E-15 PISO 128.404 279 3.58247E-14 PIPO 38.053 51 1.940703E-15 TABLE IIII PERFORMANCE AT 1GHZ CLOCK FREQUENCY SHIFT AVG DELAY PDP(J) REGISTER POWER(µW) (Ps) SISO 69.884 98 6.8486E-15 SIPO 69.884 12 8.38608E-16 PISO 156.301 145 2.26636E-14 PIPO 51.876 33 1.711908E-15 Fig 7. Comparison of power Fig 8. Comparison of Delay 2013, IJCSMC All Rights Reserved 275

Fig 9. Comparison of power delay product(pdp) OBSC technique reduces the power consumption to a considerable extent. In order to reduce the active leakage power encountered in digital CMOS circuits, RTPG technique is incorporated into the design by considering the shift registers with 5 TSPC D Flip Flops and their performances are evaluated at different clock frequencies. Finally, by introducing RTPG into the circuit with OBSC, a sequential circuit with integration of CG and RTPG is achieved. The proposed circuits has significant reduction in power as compared to the conventional circuits. Tables 4-5 provides performance analysis at 1 GHZ. TABLE IV OBSC AND WITHOUT RTPG AT 1 GHZ CLOCK FREQUENCY SHIFT AVG DELAY PDP(J) REGISTER POWER(µW) (Ps) SISO 115.345 137 1.58022E-14 SIPO 115.345 38 4.38311E-15 PISO 194.998 207 4.03645E-14 PIPO 78.007 86 6.708602E-15 TABLE V OBSC AND RTPG AT 1 GHZ CLOCK FREQUENCY SHIFT AVG DELAY PDP(J) REGISTER POWER(µW) (Ps) SISO 79.442 153 1.21546E-14 SIPO 79.442 51 4.05154E-15 PISO 162.098 213 3.45268E-14 PIPO 52.997 105 5.56468E-15 2013, IJCSMC All Rights Reserved 276

Fig 10. Comparison of power consumption Fig 11. Comparison of delay 2013, IJCSMC All Rights Reserved 277

Fig 11. Comparison of power delay product (PDP) VI. CONCLUSION In this Paper, a fine-grained CG and RTPG integration is achieved in sequential circuits. First, an activity driven fine-grained OBSC technique is evaluated that selects only a subset of FFs to gate. It can reduce dynamic power by 25.07%. Moreover, the clock enable signal generated in the OBSC circuit can be used as the sleep signal in RTPG. Following this, Sequential circuits that implements both OBSC and RTPG is considered and their performances are evaluated at different clock frequencies. Simulation results show that the proposed technique achieves 32% reduction in active leakage power. REFERENCES [1] Li Li, Ken Choi, and Haiqing Nan, Activity-Driven Fine-grained Clock Gating and Run Time Power Gating Integration, IEEE transactions on very large scale integration (VLSI) systems, vol. 21, no. 8, August 2013. [2] S.M.Kang,Y.Leblebici, Ed., CMOS Digital Integrated Circuits analysis and design. Third Edition, TMH, 2003 [3] M.Morris Mano,Michael D.Ciletti,, Digital Design, Fourth Edition, Pearson Education Inc [4] Christian Piguet, Low power CMOS Circuits, Technology, Logic Design and CAD tools,taylor and Francis Group 2006 [5] J.S.Wang.P.H.Yang, A Pulse Triggered TSPC FF for High speed, Low power VLSI design Applications IEEE, 1998 [6] Neil H.E Weste,Kamran Eshraghian, Principles of CMOS VLSI Design, A Systems Perspective,Second Edition,Pearson Education Inc,2002 [7] K. Usami and N. Ohkubo, A design approach for fine-grained run-time power gating using locally extracted sleep signals, in Proc. Int. Conf.Comput. Design, 2006, pp. 151 161 [8] L. Bolzani, A. Calimera, A. Macii, E. Macii, and M. Poncino, Enabling concurrent clock and power gating in an industrial design flow, in Proc.Des. Autom. Test Eur. Conf., 2009, pp. 334 339 [9] K. Roy, S. Mukhopadkyay, and H. Mahmoodi-meimand, Leakage current mechanisms and leakage reduction techniques in dee psubmicrometer CMOS circuits, Proc. IEEE, vol. 91, no. 2, pp. 305 327,Feb. 2003. [10] Y. Tsai, D. Duarte, N. Vijaykrishnan, and M. Irwin, Characterization and modeling of run-time techniques for leakage power reduction, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 11, pp. 1221 1233, Nov. 2004. [11] P. Babighian, L. Benini, and E. Macii, A scalable algorithm for RTL insertion of gated clocks based on ODCs computation, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 1, pp. 29 42, Jan. 2005. [12] Andrew B.Kahng,Seokhyeong Kang,Bongil Park, Active-Mode Leakage Reduction with Data-Retained Power Gating Proc EDAA,2013 2013, IJCSMC All Rights Reserved 278