DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Similar documents
Low Power Flip-Flop Design for Low Swing LC Resonant Clock Distribution Networks

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

P.Akila 1. P a g e 60

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

A Power Efficient Flip Flop by using 90nm Technology

An efficient Sense amplifier based Flip-Flop design

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

A Low-Power CMOS Flip-Flop for High Performance Processors

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Low Power D Flip Flop Using Static Pass Transistor Logic

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

CMOS DESIGN OF FLIP-FLOP ON 120nm

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

Current Mode Double Edge Triggered Flip Flop with Enable

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

DESIGN OF LOW POWER TEST PATTERN GENERATOR

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

ECEN620: Network Theory Broadband Circuit Design Fall 2014

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

LOW POWER BASED DUAL MODE LOGIC GATES USING POWER GATING TECHNIQUE

Power Optimization by Using Multi-Bit Flip-Flops

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

Minimization of Power for the Design of an Optimal Flip Flop

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 ISSN

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

Dual Slope ADC Design from Power, Speed and Area Perspectives

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

A Noble Design of Energy Recovery Flip-Flops

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

LFSR Counter Implementation in CMOS VLSI

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Noise Margin in Low Power SRAM Cells

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

A Reduced Clock Power Flip-Flop for Sequential Circuits

ADVANCES in NATURAL and APPLIED SCIENCES

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

An FPGA Implementation of Shift Register Using Pulsed Latches

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Hardware Design I Chap. 5 Memory elements

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Transcription:

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy, Ms.S.Karthigaswathini, Assistant Professor, Mahendra College of Engineering Abstract A novel Differential Conditional Capturing Flip- Flop (DCCFF) for low power and high performance applications is presented in this paper. Conditional capturing flip-flop eliminates the redundant internal transitions and it operates with a sinusoidal clock. The sinusoidal clock is utilized by reduced swing inverters at the clock port. By applying the power gating to the DCCFF the low-power consumption is achieved. Power gating technique doesn t supply current to blocks that are not in use. Keywords-component: low power, High-performance, Flip- Flop, Power gating I.INTRODUCTION In many digital Very Large Scale Integration circuit (VLSI) design which consists of clock distribution network, latches and flip-flops is one of the most power consuming components. Latches and flip-flops have direct impact on power consumption and these are sequential elements. Latch is a level triggered and it has one value. Flip-Flop is an edge triggered and it has two values. The advantageous factors in the flip-flops are high speed, low power consumption, less number of transistors, less internal activity when data activity is low. By reducing the both clock power and Flip-Flop power we can reduce the total power consumption. Clock signal is a simple control signal that oscillates between high and low states, operates at high speed and it has its special characteristics and attributes. Clock signal is produced by the clock generator (or) clock distribution network. The Clock distribution network is used to distribute the clock signal and it consumes 50-60% of power. The buffer in the CDN acts as a holding area, used to insert delays, strengthens a signal so that it can be fanned out with integrity. Any amplifier is a buffer and it consumes30-35% of power. The clock buffers have better balance between rise and fall time. Fall time is equal to time for a waveform to fall from 90% to 10% of its steady state value and Rise time is equal to time for a waveform to rise from 10% to 90%. The clock tree network have high impedance interconnects that decay clock signal strength and their major drawback is their power dissipation. Power dissipation means energy is dissipated (or) lost from electrical system. The large amount of capacitance driven by the clock network results in higher power dissipation. To reduce power dissipation in clock network it is necessary to maintain the dynamic, static, leakage and short-circuit current. The total power dissipation can be described by, Pavg = P dynamic + P short-circuit + P leakage + P static. P dynamic= CL*V*N*F and it is proportional to the load capacitance CL, square of Vdd, switching activity and clock frequency. The dynamic power consumption is caused by the switching activity of the circuit (or) charging and discharging of capacitances in the circuit. During charging the output node makes a full transition from 0 to Vdd and an amount of energy from the power supply is dissipated as heat. During discharge phase no power is drawn from the power supply. The higher operating frequency leads to more switching activities in the circuit and it results in increased power dissipation. The dynamic power is the dominant factor compared with the other components of power dissipation and it is reduced by the reduction of the average number of transitions per clock cycle or switching activity or reduces the clock frequency. Short - circuit Power is caused by the current flow through the direct path existing between the power supply and the ground during the transition phase which means that when the input signal changes from 1 to 0 and 0 to1 there exists a small time interval this results in the short circuit current flows between the power supply and ground. The short- circuit power is also caused by switching of transistors. The low source -drain potential difference also results in small short-circuit current. By maintaining the proper rise and fall time throughout the clock tree reduces short circuit power. Leakage current is caused by two types one is reverse-bias diode leakage current at the transistor drains and other is sub threshold current. The reverse-biased diode leakage occurs because of transistor is getting off and current increases with increases in temperature. Diode formation is inherent and results in leakage current. Sub threshold leakage current occurs due to carrier diffusion between the source and drain. The magnitude of the sub threshold current increases when the gate to source voltage is smaller than threshold voltage and it results in the power dissipation of sub threshold leakage. The leakage problem mainly appears in very low frequency circuits or ones with sleep modes and it is several orders of magnitude smaller than the dynamic power. The leakage current techniques are applied at lowlevel design abstraction such as process, circuit and circuit design. The static power dissipation is the product of the leakage current and supply voltage. Static current depends on the logic state of its output and reversing the signal polarity for minimizing the probability of static current flow. The static current is reduced by transistor sizing. Resonant Clocking enables the generation of clock signals with reduced power consumption. It uses the @IJRTER-2017, All Rights Reserved 146

LC tank to drive the clocks. The LC wave has equal phase and magnitude. Power consumption refers to the electrical energy applied to the electrical appliances to maintain its operation and it affects the high performance designs. By reducing the flip- flop power we can reduce the power consumption. The flip-flop power can be reduced by the reduction of transistor count and reducing redundant internal transitions. Conditional capturing is used to minimize power at low data switching activities. Power gating technique is presented in this paper to achieve low power. Power gating technique is used to reduce power consumption by not supplying the current to the block which doesn t operate. Some of the power gating parameters are power gate size, power gate leakage, gate control slew rate and switching capacitance. The power gate size handles the switching current. In power gate leakage power gates are made of active transistors to reduce leakage and it leads to power saving. In gate control slew rate we can calculate the efficiency. The Switching capacitance can be switched several times and it doesn t affect the power. By reducing the transistor gate to source voltage power gating reduces leakage. In coarse-grained power gating sleep transistors are coarsely placed. Coarse-grained power gating is also be implemented by the Ring-based (or) column-based manner depending upon application. In Ring-based, Power gates are switched off as a ring and power signals are turned on using the special corner cells. In columnbased, power gates are arranged in column manner. When the power is switched in this method it is disconnected from all logic and it results in the loss of states. To preserve the state it should be stored. The main advantage of Coarse-grain sleep transistor is it shares charge and discharge current and it provides more flexibility. Power gating reduces leakage by reducing transistor gate to source voltage. The operation of the power gating is simple. In active mode, virtual voltage is acting as power supply at a potential of Vdd to the Block, leakage power exists in both header and this circuit block. In standby mode it switches off the header and the virtual voltage drops. So it results in the less voltage. This paper is organized as follows. Section II represents the description of conditional capturing flipflop. Section III presents the power gated conditional capturing flip-flop. Section IV includes the simulation results and performance comparison. The conclusion of this paper is provided in section V. II.DIFFERENTIALCONDITIONALCAPTURINGFLIPF LOP Conditional capturing is a low-swing flip-flop and by using the low swing voltage on the clock distribution network we can reduce the clock power. Conditional capturing flip-flop eliminates unnecessary redundant internal node transitions to minimize power at low data switching activities. The schematic diagram of the Differential Conditional Capturing Flip-Flop is shown in figure1. Q_LS QB_LS D SET Reduced swing inverters MP1 MN5 MN3 X MP2 RESET MN6 MN4 MN2 SET/RESET LATCH DB @IJRTER-2017, All Rights Reserved 147

CLK_LS MN1 DCCFF is double clock edge triggered it reduces power by decreasing the frequency. When the clock signal is enabled the output of the reduced swing inverters is passes to the transistor MN1.The charging transistor is used to charge SET/RESET node and either any one node pulled down to trigger the NAND latch which depends on the input state. Fig 1 Differential Conditional Capturing Flip-Flop The main advantage of DCCFF is that there is no speed penalty. The sinusoidal clock signal is applied to the node of reduced swing inverters to reduce short circuit power. In reduced swing inverters the first pmos transistor is the load pmos transistor and it reduces the voltage at the source of other pmos in each inverter. When the clock signal applied to the gate of the nmos transistor (MN1) which is connected to ground is able to pull down SET/RESET latch. MP1 and MP2 called pmos transistors used to charge the SET/RESET nodes. Conditional capturing technique is implemented by feedback the output Q and QB to the MN3 and MN4 called as control transistors and input data D and DB is same as the output Q and QB prevents SET and RESET from being discharged. This results in flip-flop power saving at low data switching activities. III.PROPOSEDPOWERGATEDDIFFREENTIAL CONDITIONAL CAPTURING FLIP-FLOP In this proposed power gated conditional capturing flip flop uses coarse grain power gating technique. The schematic diagram of proposed flip-flop was shown in figure 2. By reducing the clock power and flip-flop power we can reduce the total power consumption. The LC Resonant based clock distribution network uses magnetic inductor which perform the operation of the variable inductor and LC tank should drive the entire clock network without any intermediate buffer to achieve maximum power saving in clock. The second approach is to use conditional capturing flip-flop to eliminate redundant internal node transitions for minimizing flip-flop power at low data switching activities. The sinusoidal clock signal does not have any skew, delay problem and it is applied at the node of reduced swing inverters to reduce short circuit power and it is working efficiently in DCCFF. Power gating technique is used to reduce power consumption and it doesn t supply current to blocks that are not in use. By reducing the transistor gate to source voltage power gating reduces leakage. In this method sleep transistors are coarsely placed. Q_LS QB_LS Sleep D SET MP1 MN5 MN3 MP2 RESET X MN6 MN4 SET/RESET LATCH DB In DCCFF MP1 and MP2 are charging transistors, MN1 and MN2 are clocking transistors, MN3 and MN4 are control transistors, MN5 and MN6 used for giving the input data, D and DB represents the input data, Q and QB represents the output. The clocking transistors are connected to the node X. The SET/RESET LATCH is used to latch the value created by the input signal and hold the value until the signal changes. Reduced swing Inverters MN2 MN1 @IJRTER-2017, All Rights Reserved 148

CLK_LS Fig 2 Proposed Power Gated Conditional Capturing Flip- Flop Coarse-grained power gating is implemented in the DCCFF by connecting the sleep transistor between the permanent power supply and virtual power supply and it also controlling the Vdd supply. Q and QB is feedback to the control transistors which implements the capturing mechanism. The input data, output prevents SET and RESET from being discharged and the coarse-grained sleep transistors shares charging and discharging current. This results in the maximum power consumption. IV.SIMULATIONRESULTSANDPERFORMANCE COMPARISON. The simulation results were obtained from TANNER and power consumption is calculated using MICROWIND in CMOS technology. The flip-flops were designed using the TSMC 0.18µm process technology at an operating temperature and supply voltage of 1.8V. Fig 4 proposed PG- DCCFF output waveform Fig 3 Layout of power gated DCCFF The figure 3 shows the layout and figure 4 shows the output waveform. In figure 4 pink and green represents the D and DB (input data) Red represents the sinusoidal clock signal, blue and pink represents the Q and QB (output). TABLE 1 DESIGN DCCFF PG-DCCFF Total width (µm) 40.9 45.7 Total power (µm) 16.942 12.045 *DCCFF-Differential Conditional Capturing Flip-Flop **PG-DCCFF-Power gated Differential Conditional Capturing Flip-Flop Table 1 represents the comparison between the DCCFF and PG-DCCFF. We analyze the different design in the views of total width and power consumption. V.CONCLUSION @IJRTER-2017, All Rights Reserved 149

This paper presents the novel Differential Conditional capturing flip-flop using power gating to achieve low power and high performance application. The proposed flip-flop operates with a sinusoidal signal, because of this clock signal there is no skew and delay problem. To achieve power reduction by incorporating the power gating in the DCCFF and eliminates the unnecessary redundant transitions. By preventing the SET/RESET node from being discharged it results in flipflop power saving. [8] Xu Z and Shepard K L, Design and analysis of actively-deskewed resonant clock network, IEEE Transactions on Very Large Scale Integration (VLSI systems), Feb 2009. REFERENCES [1] seyed E Esmaeili, Asim J Al-kahlili, and Glenn E R Cowan, Low-Swing Differential Conditional Capturing Flip-Flop for LC Resonant Clock Distribution Networks IEEE Transactions on Very Large Scale Integration (VLSI systems), August 2012. [2] Asgari F H A and sachdev M, A low-power reduced swing global clocking methodology, IEEE Transactions on Very Large Scale Integration (VLSI systems), May 2004. [3] Esmaeili S E, Al-Khalili A J and Cowan G E R, Estimating required driver strength in the resonant clock generator, IEEE Transactions on Very Large Scale Integration (VLSI systems), 2010. [4] Esmaeili S E, Al-Khalili A J and Cowan G E R, Dual-edge triggered sense amplifier Flip-Flop for resonant clock distribution networks, IEEE Transactions on Very Large Scale Integration (VLSI systems), Nov 2010. [5] Kim C and Kang S M, A low-swing Clock Double- Edge triggered Flip-Flop, IEEE Transactions on Very Large Scale Integration (VLSI systems), 2001 [6] Mahmoodi H, Tirumalashetty V, Cooke M, and Roy k, Ultra low-power clocking scheme using energy recovery and clock gating, IEEE Transactions on Very Large Scale Integration (VLSI systems), Jan 2009. [7] Pangjun J and Sapatnekar S S, Low-Power clock distribution using multiple voltages and reduced swings, IEEE Transactions on Very Large Scale Integration (VLSI systems), Jun 2002. @IJRTER-2017, All Rights Reserved 150