FPGA Implementation of High Performance LDPC Decoder using Modified 2-bit Min-Sum Algorithm

Size: px
Start display at page:

Download "FPGA Implementation of High Performance LDPC Decoder using Modified 2-bit Min-Sum Algorithm"

Transcription

1 Second International Conference on Coputer Research and Developent FPGA Ipleentation of High Perforance LDPC Decoder using Modified 2-bit Min-Su Algorith Vikra Arkalgud Chandrasetty and Syed Mahfuzul Aziz School of Electrical and Inforation Engineering University of South Australia Mawson Lakes, SA 5095, Australia Abstract In this paper, a reduced coplexity Low-Density Parity-Check (LDPC) decoder is designed and ipleented on FPGA using a odified 2-bit Min-Su algorith. Siulation results reveal that the proposed decoder has iproveent of 1.5 db Eb/No at 10-5 bit error rate (BER) and requires fewer decoding iterations copared to original 2-bit Min-Su algorith. With a coparable BER perforance to that of 3- bit Min-Su algorith, the decoder ipleented using odified 2-bit Min-Su algorith saves about 18% of FPGA slices and can achieve an average throughput of 10.2 Gbps at db Eb/No. Keywords- digital counication; error correction coding; iterative decoding; field prograable gate array; logic design I. INTRODUCTION Low-Density Parity-Check (LDPC) [1] codes have becoe one of the ost attractive error correction codes due to its excellent perforance [2] and suitability in high data rate applications, such as WiMax, DVB-S2 and so on [3]. The inherent structure of the LDPC code akes the decoder achieve high degree of parallelis in practical ipleentation []. LDPC decoding algoriths are priarily iterative and are based on belief propagation essage passing algorith. The coplexity of the decoding algorith is highly critical for the overall perforance of the LDPC decoder. Various algoriths have been proposed in the past to achieve tradeoff between coplexity and perforance [5, 6]. The Su-Product Algorith (SPA) [7], a soft decision based essage passing algorith can achieve best perforance, but with high decoding coplexity. Whereas, Bit-Flip is a hard decision based algorith with least decoding coplexity, but suffers fro poor perforance [6]. Min-Su Algorith (MSA) is the siplified version of SPA that has reduced ipleentation coplexity with a slight degradation in perforance [7]. The MSA perfors siple arithetic and logical operations that akes suitable for hardware ipleentation. But the perforance of the algorith is significantly ipacted by the quantization of soft input essages used [8]. Reducing the quantization of the essage is invariably iportant to reduce the ipleentation coplexity and hardware resources of the decoder. But this advantage coes with degradation in decoding perforance. Perforance issues and hardware ipleentation of such low coplexity algoriths, especially the 2-bit MSA has liited inforation in the literature. This paper discusses the perforance and hardware ipleentation coplexity associated with 2-bit MSA. Modifications are proposed to iprove the overall perforance of the algorith to achieve coparable to that of 3-bit MSA. Siulation results reveal that the proposed Modified 2-bit Min-Su (MMS2) algorith achieves significant iproveent in decoding perforance, such as bit error rate (BER) and average decoding iterations copared to 2-bit MSA. With a coparable BER perforance to that of 3-bit MSA, FPGA ipleentation of proposed MMS2 can save up-to 18% of slices and leading to 23% iproveent in axiu operating frequency of the LDPC decoder. II. PROPOSED MODIFIED 2-BIT MIN-SUM ALGORITHM Although the siplified check node operation in MSA has reduced coplexity copared to SPA, the forer still requires high precision essages to be exchanged between the decoding nodes in the decoder. This is iportant to achieve coparable decoding perforance to that of SPA, with least perforance degradation. The level of quantization used in the soft channel essages represented as Log-Likelihood Ratios (LLR) and extrinsic essages of MSA directly ipacts the decoding perforance. As the quantization length of the essage decreases, the perforance and coplexity of the algorith reduces. Studies have shown that there is slight perforance loss in going fro 5bit to bit or even 3bit [8]. Using 2-bit quantized essages in MSA leads to assive reduction in ipleentation coplexity but suffers fro significant loss in decoder perforance copared to 3bit MSA. The perforance of 2-bit MSA has been iproved through optiization reported in [9]. The perforance is further iproved by the Modified 2-bit Min-Su (MMS2) algorith proposed in this paper. The check node and variable node operations of MMS2 algorith is described as follows: A. Variable Node Operation The variable node operation is siilar to that of the original Min-Su algorith [7]. The difference in the proposed algorith is that the variable node (Vi) perfors /10 $ IEEE DOI /ICCRD

2 higher precision quantized LLR operations (LLRn), but aps the coputed result to 2-bit essage to be passed to the check nodes, as in (1). The 2-bit essage consists of a sign bit and a agnitude bit representing the coputed LLR su. The apping is based on a threshold (T) obtained fro siulations. Depending on the essage received fro the check nodes (Cj), the 2-bit inforation is again apped to constant values (±W or ±w) to perfor the LLR su operation in the variable node. These constant values for apping are also obtained fro siulations. The functions for apping the 2-bit essages are shown in (2) and (3). V i g LLRn f ( Cj) (1) ji where, n = 1, 2,.N (variable nodes) i = j = 1, 2,.dv (degree of variable node n ) g( y) W w f ( x) w W if y T 0 y T 0 x T x T x 01 x 00 x 10 x 11 where, T is the optiized threshold for apping obtained fro siulations; W is the optiized higher integer constant obtained fro siulations; w is the optiized lower integer constant obtained fro siulations. Monte Carlo siulations are carried out to obtain T, W and w values that provide best decoding perforance. B. Check Node Operation In MSA, the check node is expected to deterine the product of the sign of incoing essages and also find the iniu of the agnitude of the input essages [7]. In the proposed MMS2, the product of the sign of incoing essages are coputed by using XOR operation (Sk) and the inius are deterined using AND operation (Mk). The check node output essage (Ck) is obtained siply by concatenating the sign bit and the agnitude bit, as in (6). The essage passing between the nodes continues till the parity check is satisfied or axiu iteration is reached. (2) (3) Sk V1 V2... Vl l k () ( ) ( ) ( ) Mk V1 & V2 &... & Vl l k (5) Ck S M } (6) { k k where, l = k = 1,2,.d c (degree of check node) S = Sign bit of check node essage M = Magnitude bit of check node essage Vl(s)= Sign bit of the essage l fro variable node Vl()=Magnitude bit of the essage l fro variable node The essage apping in the variable node described above is siilar to that presented in [9]. However, the proposed MMS2 algorith eliinates the overhead of using scaling factor used in [9], uses higher precision LLR for variable node operation and incorporates siple logic for check node operation. These odifications lead to further iproveent in perforance and yet retain the reduced coplexity of routing only 2-bit essages between the variable and check nodes in the LDPC decoder. III. PERFORMANCE ANALYSIS The perforance of the proposed MMS2 algorith has been evaluated by developing a software odel using C progras in the MatLab environent. The LDPC codes were generated using Progressive Edge Growth (PEG) algorith [10]. Siulations were carried out assuing that the code words were odulated using Binary Phase Shift Keying (BPSK) and passed over an Additive White Gaussian Noise (AWGN) channel [11]. In [12], a ½ rate (3, 6) regular 1200-bit LDPC code with a axiu decoding iteration of 10 was used for FPGA ipleentation of 3-bit MSA. This specification has been used for siulation and coparison of the proposed MMS2 algorith. The corresponding FPGA ipleentation results are copared in section IV (A). The LLR quantization used for MMS2 is -bit. In the variable node, for -bit to 2-bit apping a threshold (T) of 2 is used and for 2-bit to -bit apping the weights used are W=3 and w=1. The BER perforance of MMS2 copared to original 2- bit and 3bit MSA is shown in Fig. 1. It can be noted that the MMS2 achieves a gain of 1.5 db at 10-5 BER over 2-bit MSA and suffers a loss of about 0.3 db at 10-5 BER over 3- bit MSA. A significant iproveent of average decoding iterations for MMS2 copared to 2-bit MSA can be observed in Fig. 2. IV. FPGA IMPLEMENTATION A fully parallel LDPC decoder architecture was designed for the proposed MMS2 algorith. The paraeterized hardware odel was developed using Verilog Hardware Description Language (HDL) and synthesized using Xilinx synthesis tool. The behavioral and post synthesis siulations were carried out using ModelSi. The block diagra of the designed LDPC decoder is shown in Fig. 3. The decoder consists of a global Clock and synchronous Reset inputs. The axiu perissible nuber of iterations is deterined by the value supplied at the MaxIter input. This can be set at a value in the range When the Configure input is high, the MaxIter value is read. The LLRs are fed into the decoder using the Load control signal. The decoding process is initiated by the Start signal. After the decoding is copleted, the Decoded 882

3 Data can be obtained when indicated by the DataOut Ready signal. The receipt of data can be acknowledged on DataOut Ack to receive the next decoded bit. The nuber of iterations used for decoding can be obtained fro Used Iter port. The Decoder Status port indicates the progress (Active/Idle) of the decoder. LLR Input Load Start MaxIter Clock Reset Configure LDPC Decoder Decoder Status Decoded Data Used Iter DataOut Ready DataOut Ack Figure 3. Block diagra of the designed LDPC decoder Figure 1. BER perforance of MMS2 copared to MSA Note that the LLRs are loaded serially one at a tie to the decoder. Siilarly, the Decoded Data is latched bit by bit serially. This technique is used because of the liited nuber of Input/Output ports available in the FPGA. It also provides flexibility for ipleenting LDPC decoders with variable codelength without odifying the port configuration. A. Coparative Analysis A parallel architecture for a 1200-bit LDPC decoder, as described in section III, has been designed, synthesized, placed and routed for Xilinx Virtex (XCVLX200) FPGA. The axiu operating clock frequency achievable for the decoder is 123 MHz. The throughput of the decoder is calculated based on the forula presented in [12]. This calculation excludes the serial load tie of individual LLRs (before starting the decoding process) and latch tie of decoded data (after decoding is coplete). At an average decoding iteration of 7.2 at db Eb/No (see Fig. 2) the proposed decoder can achieve an average throughput of 10.2 Gbps. A coparison of the proposed decoder to that presented in [12] is shown in Table I. TABLE I. TABLE I. COMPARISON OF FULLY PARALLEL LDPC DECODERS In [12] Proposed Iproveent LDPC Code ½ rate (3,6) regular 1200-bit - Algorith 3-bit Min-Su MMS2 - BER 10-5 at 3.6 db 10-5 at 3.9 db 0.3 db FPGA Xilinx Virtex (xcvlx200) - Slices 0,613 33, 35 18% Figure 2. Average decoding iterations for MMS2 and MSA LUTs 69,038 58,053 16% Registers 18,95 15,691 17% Clock 100 MHz 123 MHz 23% Throughput Not Available 6 Gbps (Min) at 10 iterations 10.2 Gbps (Avg.) at db 7. Gbps (Min) at 10 iterations Results Synthesized, Placed and Routed % 883

4 B. Ipleentation Results The 1200-bit LDPC decoder presented above was not ipleented on the FPGA, as Xilinx Vertex was not available. However, a saller version of the decoder has been ipleented using Xilinx Virtex 5 FPGA developent board. A ½ rate (3, 6) regular 68-bit LDPC code that coplies with WLAN standard [13] was chosen for ipleentation. A coprehensive testing environent was developed using RS232 serial counication [1] to test the decoder on the FPGA. The setup used to test the LDPC decoder is shown in Fig.. An RS232 transceiver odule was ebedded on the FPGA along with the LDPC decoder odule to interface with the RS232 port. MatLab was used to counicate with the FPGA using the serial port. LLRs were generated and sent to FPGA with appropriate control signals for decoding. The decoded data received via the sae serial port was used to analyze the perforance of the decoder. The BER perforance and average iterations required by the decoder ipleented on FPGA copared to the software odel is shown in Fig. 5 and Fig. 6 respectively. The suary of FPGA ipleentation results of the LDPC decoder, including the RS232 serial counication odule is shown in Table II. At a axiu operating frequency of 113 MHz, the LDPC decoder ipleented can achieve an average throughput of 5. Gbps with an average iteration of 6.8 at.25 db Eb/No. Figure 5. BER perforance of LDPC decoder fro FPGA TABLE II. TABLE II. SUMMARY OF FPGA IMPLEMENTATION RESULTS Resources LDPC Decoder Slices 7,755 LUTs 22,01 Registers 8,555 Clock FPGA 113 MHz Xilinx Virtex 5 (XC5VLX110T-3FF1136) Figure 6. Average decoding iterations of LDPC decoder fro FPGA MatLab Personal Coputer Serial Port Connection RS232 Rx/Tx FPGA LDPC Decoder Figure. Block diagra of FPGA test setup for LDPC decoder V. CONCLUSION In this paper, a odified 2-bit Min-Su algorith is proposed to reduce the ipleentation coplexity of LDPC decoders. It is shown that with a slight degradation in perforance of about 0.3 db at a BER of 10-5 copared to 3-bit Min-Su, the proposed decoder leads to significant saving in hardware resource utilization and treendous increase in average throughput. The perforance of the proposed algorith and its feasibility for practical systes are also verified by ipleenting the decoder suitable for WLAN. Therefore, the proposed LDPC decoder is a highly attractive solution for applications requiring high perforance. 88

5 ACKNOWLEDGMENT The authors wish to acknowledge Dr Mark Ho of the School of Electrical and Inforation Engineering, University of South Australia, for his advice on carrying out the perforance siulations. REFERENCES [1] [1] R. Gallager, Low-density parity-check codes. IRE Transactions on Inforation Theory, (1): p [2] [2] D.J.C. MacKay and R.M. Neal, Near Shannon liit perforance of low density parity check codes. Electronics Letters, (6): p [3] [3] Tetsuo Nozawa (2005) LDPC Adopted for Use in Cos, Broadcasting, HDDs. Nikkei Electronics Asia. [] [] G.L.L. Nicolas Fau (2008) LDPC (Low Density Parity Check) - A Better Coding Schee for Wireless PHY Layers Design and Reuse Industry Article. [5] [5] S. Papaharalabos and P.T. Mathiopoulos, Siplified suproduct algorith for decoding LDPC codes with optial perforance. Electronics Letters, (2): p [6] [6] N. Miladinovic and M.P.C. Fossorier, Iproved bit-flipping decoding of low-density parity-check codes. IEEE Transactions on Inforation Theory, (): p [7] [7] A. Anastasopoulos. A coparison between the su-product and the in-su iterative detection algoriths based on density evolution. in IEEE Global Telecounications Conference [8] [8] R. Zarubica, et al. Efficient quantization schees for LDPC decoders. in IEEE Military Counications Conference [9] [9] Z. Cui and Z. Wang, Iproved low-coplexity low-density parity-check decoding. IET Counications, (8): p [10] [10] X.-Y. Hu. Software to Construct PEG LDPC code [cited 2009 May]; Available fro: [11] [11] J.G. Proakis, Digital counications. 5th ed. ed, ed. M. Salehi. 2008, New York: McGraw-Hill. [12] [12] R. Zarubica, S.G. Wilson, and E. Hall. Multi-Gbps FPGA-Based Low Density Parity Check (LDPC) Decoder Design. in IEEE Global Telecounications Conference [13] [13] IEEE n Wireless LAN Mediu Access Control MAC and Physical Layer PHY specifications. 2006, IEEE n-D1.0. [1] [1] RS232 Tutorial on Data Interface and Cables [cited 2009 Sep]; Available fro: [15] [16] 885

Estimating PSNR in High Definition H.264/AVC Video Sequences Using Artificial Neural Networks

Estimating PSNR in High Definition H.264/AVC Video Sequences Using Artificial Neural Networks RADIOEGIEERIG, VOL. 7, O. 3, SEPTEMBER 008 3 Estiating PSR in High Definition H.64/AVC Video Sequences Using Artificial eural etworks Martin SLAIA, Václav ŘÍČÝ Dept. of Radio Electronics, Brno University

More information

An Industrial Case Study for X-Canceling MISR

An Industrial Case Study for X-Canceling MISR An Industrial Case Study for X-Canceling MISR Joon-Sung Yang, Nur A. Touba Coputer Engineering Research Center University of Texas, Austin, TX 7872 {jsyang,touba}@ece.utexas.edu Shih-Yu Yang, T.M. Mak

More information

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. The final version is published and available at IET Digital Library

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

Viterbi Decoder User Guide

Viterbi Decoder User Guide V 1.0.0, Jan. 16, 2012 Convolutional codes are widely adopted in wireless communication systems for forward error correction. Creonic offers you an open source Viterbi decoder with AXI4-Stream interface,

More information

AbhijeetKhandale. H R Bhagyalakshmi

AbhijeetKhandale. H R Bhagyalakshmi Sobel Edge Detection Using FPGA AbhijeetKhandale M.Tech Student Dept. of ECE BMS College of Engineering, Bangalore INDIA abhijeet.khandale@gmail.com H R Bhagyalakshmi Associate professor Dept. of ECE BMS

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Volume-6, Issue-3, May-June 2016 International Journal of Engineering and Management Research Page Number: 753-757 Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Anshu

More information

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 V Priya 1 M Parimaladevi 2 1 Master of Engineering 2 Assistant Professor 1,2 Department

More information

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR

More information

Guidance For Scrambling Data Signals For EMC Compliance

Guidance For Scrambling Data Signals For EMC Compliance Guidance For Scrambling Data Signals For EMC Compliance David Norte, PhD. Abstract s can be used to help mitigate the radiated emissions from inherently periodic data signals. A previous paper [1] described

More information

COM-7003SOFT Turbo code encoder/decoder VHDL source code overview / IP core

COM-7003SOFT Turbo code encoder/decoder VHDL source code overview / IP core COM-7003SOFT Turbo code encoder/decoder VHDL source code overview / IP core Overview The COM-7003SOFT is an error correction turbocode encoder/decoder written in generic VHDL. The entire VHDL source code

More information

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3. International Journal of Computer Engineering and Applications, Volume VI, Issue II, May 14 www.ijcea.com ISSN 2321 3469 Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol

More information

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System R. NARESH M. Tech Scholar, Dept. of ECE R. SHIVAJI Assistant Professor, Dept. of ECE PRAKASH J. PATIL Head of Dept.ECE,

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Roshini R, Udhaya Kumar C, Muthumani D Abstract Although many different low-power Error

More information

The Design of Efficient Viterbi Decoder and Realization by FPGA

The Design of Efficient Viterbi Decoder and Realization by FPGA Modern Applied Science; Vol. 6, No. 11; 212 ISSN 1913-1844 E-ISSN 1913-1852 Published by Canadian Center of Science and Education The Design of Efficient Viterbi Decoder and Realization by FPGA Liu Yanyan

More information

POLAR codes are gathering a lot of attention lately. They

POLAR codes are gathering a lot of attention lately. They 1 Multi-mode Unrolled Architectures for Polar Decoders Pascal Giard, Gabi Sarkis, Claude Thibeault, and Warren J. Gross arxiv:1505.01459v2 [cs.ar] 11 Jul 2016 Abstract In this work, we present a family

More information

Commsonic. Satellite FEC Decoder CMS0077. Contact information

Commsonic. Satellite FEC Decoder CMS0077. Contact information Satellite FEC Decoder CMS0077 Fully compliant with ETSI EN-302307-1 / -2. The IP core accepts demodulated digital IQ inputs and is designed to interface directly with the CMS0059 DVB-S2 / DVB-S2X Demodulator

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

FPGA Implementation of DA Algritm for Fir Filter

FPGA Implementation of DA Algritm for Fir Filter International Journal of Computational Engineering Research Vol, 03 Issue, 8 FPGA Implementation of DA Algritm for Fir Filter 1, Solmanraju Putta, 2, J Kishore, 3, P. Suresh 1, M.Tech student,assoc. Prof.,Professor

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

Design of an Error Output Feedback Digital Delta Sigma Modulator with In Stage Dithering for Spur Free Output Spectrum

Design of an Error Output Feedback Digital Delta Sigma Modulator with In Stage Dithering for Spur Free Output Spectrum Vol. 9, No. 9, 208 Design of an Error Output Feedback Digital Delta Sigma odulator with In Stage Dithering for Spur Free Output Spectrum Sohail Imran Saeed Department of Electrical Engineering Iqra National

More information

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT. An Advanced and Area Optimized L.U.T Design using A.P.C. and O.M.S K.Sreelakshmi, A.Srinivasa Rao Department of Electronics and Communication Engineering Nimra College of Engineering and Technology Krishna

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Implementation of CRC and Viterbi algorithm on FPGA

Implementation of CRC and Viterbi algorithm on FPGA Implementation of CRC and Viterbi algorithm on FPGA S. V. Viraktamath 1, Akshata Kotihal 2, Girish V. Attimarad 3 1 Faculty, 2 Student, Dept of ECE, SDMCET, Dharwad, 3 HOD Department of E&CE, Dayanand

More information

Design for Verication at the Register Transfer Level. Krishna Sekar. Department of ECE. La Jolla, CA RTL Testbench

Design for Verication at the Register Transfer Level. Krishna Sekar. Department of ECE. La Jolla, CA RTL Testbench Design for Verication at the Register Transfer Level Indradeep Ghosh Fujitsu Labs. of Aerica, Inc. Sunnyvale, CA 94085 USA Krishna Sekar Departent of ECE Univ. of California, San Diego La Jolla, CA 92093

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder JTulasi, TVenkata Lakshmi & MKamaraju Department of Electronics and Communication Engineering, Gudlavalleru Engineering College,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Performance of a Low-Complexity Turbo Decoder and its Implementation on a Low-Cost, 16-Bit Fixed-Point DSP

Performance of a Low-Complexity Turbo Decoder and its Implementation on a Low-Cost, 16-Bit Fixed-Point DSP Performance of a ow-complexity Turbo Decoder and its Implementation on a ow-cost, 6-Bit Fixed-Point DSP Ken Gracie, Stewart Crozier, Andrew Hunt, John odge Communications Research Centre 370 Carling Avenue,

More information

Polar Decoder PD-MS 1.1

Polar Decoder PD-MS 1.1 Product Brief Polar Decoder PD-MS 1.1 Main Features Implements multi-stage polar successive cancellation decoder Supports multi-stage successive cancellation decoding for 16, 64, 256, 1024, 4096 and 16384

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Investigation on Technical Feasibility of Stronger RS FEC for 400GbE

Investigation on Technical Feasibility of Stronger RS FEC for 400GbE Investigation on Technical Feasibility of Stronger RS FEC for 400GbE Mark Gustlin-Xilinx, Xinyuan Wang, Tongtong Wang-Huawei, Martin Langhammer-Altera, Gary Nicholl-Cisco, Dave Ofelt-Juniper, Bill Wilkie-Xilinx,

More information

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA) Research Journal of Applied Sciences, Engineering and Technology 12(1): 43-51, 2016 DOI:10.19026/rjaset.12.2302 ISSN: 2040-7459; e-issn: 2040-7467 2016 Maxwell Scientific Publication Corp. Submitted: August

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION

LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION SPARC-BD-3/6 SPARC-RF-3/3 25 Noveber 23 LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION D. Alesini, C. Vaccarezza, (INFN/LNF) Abstract The characterization of the longitudinal and transverse phase

More information

SDR Implementation of Convolutional Encoder and Viterbi Decoder

SDR Implementation of Convolutional Encoder and Viterbi Decoder SDR Implementation of Convolutional Encoder and Viterbi Decoder Dr. Rajesh Khanna 1, Abhishek Aggarwal 2 Professor, Dept. of ECED, Thapar Institute of Engineering & Technology, Patiala, Punjab, India 1

More information

A Robust Turbo Codec Design for Satellite Communications

A Robust Turbo Codec Design for Satellite Communications A Robust Turbo Codec Design for Satellite Communications Dr. V Sambasiva Rao Professor, ECE Department PES University, India Abstract Satellite communication systems require forward error correction techniques

More information

FPGA Hardware Resource Specific Optimal Design for FIR Filters

FPGA Hardware Resource Specific Optimal Design for FIR Filters International Journal of Computer Engineering and Information Technology VOL. 8, NO. 11, November 2016, 203 207 Available online at: www.ijceit.org E-ISSN 2412-8856 (Online) FPGA Hardware Resource Specific

More information

From Theory to Practice: Private Circuit and Its Ambush

From Theory to Practice: Private Circuit and Its Ambush Indian Institute of Technology Kharagpur Telecom ParisTech From Theory to Practice: Private Circuit and Its Ambush Debapriya Basu Roy, Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger and Debdeep Mukhopadhyay

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

REDUCED-COMPLEXITY DECODING FOR CONCATENATED CODES BASED ON RECTANGULAR PARITY-CHECK CODES AND TURBO CODES

REDUCED-COMPLEXITY DECODING FOR CONCATENATED CODES BASED ON RECTANGULAR PARITY-CHECK CODES AND TURBO CODES REDUCED-COMPLEXITY DECODING FOR CONCATENATED CODES BASED ON RECTANGULAR PARITY-CHECK CODES AND TURBO CODES John M. Shea and Tan F. Wong University of Florida Department of Electrical and Computer Engineering

More information

Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(10): 1212-1216, 2014 DOI:10.19026/rjaset.8.1086 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Distributed Arithmetic Unit Design for Fir Filter

Distributed Arithmetic Unit Design for Fir Filter Distributed Arithmetic Unit Design for Fir Filter ABSTRACT: In this paper different distributed Arithmetic (DA) architectures are proposed for Finite Impulse Response (FIR) filter. FIR filter is the main

More information

ISSN:

ISSN: 427 AN EFFICIENT 64-BIT CARRY SELECT ADDER WITH REDUCED AREA APPLICATION CH PALLAVI 1, VSWATHI 2 1 II MTech, Chadalawada Ramanamma Engg College, Tirupati 2 Assistant Professor, DeptofECE, CREC, Tirupati

More information

Hardware Implementation of Viterbi Decoder for Wireless Applications

Hardware Implementation of Viterbi Decoder for Wireless Applications Hardware Implementation of Viterbi Decoder for Wireless Applications Bhupendra Singh 1, Sanjeev Agarwal 2 and Tarun Varma 3 Deptt. of Electronics and Communication Engineering, 1 Amity School of Engineering

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

VA08V Multi State Viterbi Decoder. Small World Communications. VA08V Features. Introduction. Signal Descriptions

VA08V Multi State Viterbi Decoder. Small World Communications. VA08V Features. Introduction. Signal Descriptions Multi State Viterbi ecoder Features 16, 32, 64 or 256 states (memory m = 4, 5, 6 or 8, constraint lengths 5, 6, 7 or 9) Viterbi decoder Up to 398 MHz internal clock Up to 39.8 Mbit/s for 16, 32 or 64 states

More information

DVB-S2X for Next Generation C4ISR Applications

DVB-S2X for Next Generation C4ISR Applications White Paper: DVB-S2X for Next Generation C4SR Applications Juan D. Deaton, Ph.D. Research and Development 208-892-5607 jdeaton@aha.com Adam Bacon Core Sales 208-892-5658 abacon@aha.com Abstract ncreased

More information

THE USE OF forward error correction (FEC) in optical networks

THE USE OF forward error correction (FEC) in optical networks IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 461 A High-Speed Low-Complexity Reed Solomon Decoder for Optical Communications Hanho Lee, Member, IEEE Abstract

More information

International Journal of Engineering Research-Online A Peer Reviewed International Journal

International Journal of Engineering Research-Online A Peer Reviewed International Journal RESEARCH ARTICLE ISSN: 2321-7758 VLSI IMPLEMENTATION OF SERIES INTEGRATOR COMPOSITE FILTERS FOR SIGNAL PROCESSING MURALI KRISHNA BATHULA Research scholar, ECE Department, UCEK, JNTU Kakinada ABSTRACT The

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

OL_H264e HDTV H.264/AVC Baseline Video Encoder Rev 1.0. General Description. Applications. Features

OL_H264e HDTV H.264/AVC Baseline Video Encoder Rev 1.0. General Description. Applications. Features OL_H264e HDTV H.264/AVC Baseline Video Encoder Rev 1.0 General Description Applications Features The OL_H264e core is a hardware implementation of the H.264 baseline video compression algorithm. The core

More information

GMM-based Synchronization rules for HMM-based Audio-Visual laughter synthesis

GMM-based Synchronization rules for HMM-based Audio-Visual laughter synthesis 2015 International Conference on Affective Coputing and Intelligent Interaction (ACII) GMM-based Synchronization rules for HMM-based Audio-Visual laughter synthesis Hüseyin Çakak, UMONS, Place du Parc

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

FPGA IMPLEMENTATION AN ALGORITHM TO ESTIMATE THE PROXIMITY OF A MOVING TARGET

FPGA IMPLEMENTATION AN ALGORITHM TO ESTIMATE THE PROXIMITY OF A MOVING TARGET International Journal of VLSI Design, 2(2), 20, pp. 39-46 FPGA IMPLEMENTATION AN ALGORITHM TO ESTIMATE THE PROXIMITY OF A MOVING TARGET Ramya Prasanthi Kota, Nagaraja Kumar Pateti2, & Sneha Ghanate3,2

More information

VHDL IMPLEMENTATION OF TURBO ENCODER AND DECODER USING LOG-MAP BASED ITERATIVE DECODING

VHDL IMPLEMENTATION OF TURBO ENCODER AND DECODER USING LOG-MAP BASED ITERATIVE DECODING VHDL IMPLEMENTATION OF TURBO ENCODER AND DECODER USING LOG-MAP BASED ITERATIVE DECODING Rajesh Akula, Assoc. Prof., Department of ECE, TKR College of Engineering & Technology, Hyderabad. akula_ap@yahoo.co.in

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 05 February 23, 2012 Dohn Bowden 1 Today s Lecture Analysis of Clocked Sequential Circuits Chapter 13 2 Course Admin 3 Administrative Admin

More information

(51) Int Cl.: H04L 1/00 ( )

(51) Int Cl.: H04L 1/00 ( ) (19) TEPZZ Z4 497A_T (11) EP 3 043 497 A1 (12) EUROPEAN PATENT APPLICATION published in accordance with Art. 153(4) EPC (43) Date of publication: 13.07.2016 Bulletin 2016/28 (21) Application number: 14842584.6

More information

FPGA Implementaion of Soft Decision Viterbi Decoder

FPGA Implementaion of Soft Decision Viterbi Decoder FPGA Implementaion of Soft Decision Viterbi Decoder Sahar F. Abdelmomen A. I. Taman Hatem M. Zakaria Mahmud F. M. Abstract This paper presents an implementation of a 3-bit soft decision Viterbi decoder.

More information

I. INTRODUCTION II. LOW-POWER PARALLEL DECODERS

I. INTRODUCTION II. LOW-POWER PARALLEL DECODERS IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 8, AUGUST 2008 1835 Power Reduction Techniques for LDPC Decoders Ahmad Darabiha, Student Member, IEEE, Anthony Chan Carusone, Member, IEEE, and Frank

More information

Fast Polar Decoders: Algorithm and Implementation

Fast Polar Decoders: Algorithm and Implementation 1 Fast Polar Decoders: Algorithm and Implementation Gabi Sarkis, Pascal Giard, Alexander Vardy, Claude Thibeault, and Warren J. Gross Department of Electrical and Computer Engineering, McGill University,

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Low-Floor Decoders for LDPC Codes

Low-Floor Decoders for LDPC Codes Low-Floor Decoders for LDPC Codes Yang Han and William E. Ryan University of Arizona {yhan,ryan}@ece.arizona.edu Abstract One of the most significant impediments to the use of LDPC codes in many communication

More information

On the design of turbo codes with convolutional interleavers

On the design of turbo codes with convolutional interleavers University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2005 On the design of turbo codes with convolutional interleavers

More information

FPGA Realization of Farrow Structure for Sampling Rate Change

FPGA Realization of Farrow Structure for Sampling Rate Change SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol 13, No 1, February 2016, 83-93 UDC: 517.44:621.372.543 DOI: 10.2298/SJEE1601083M FPGA Realization of Farrow Structure for Sampling Rate Change Bogdan Marković

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Clock Gating Aware Low Power ALU Design and Implementation on FPGA Clock Gating Aware Low ALU Design and Implementation on FPGA Bishwajeet Pandey and Manisha Pattanaik Abstract This paper deals with the design and implementation of a Clock Gating Aware Low Arithmetic

More information

Adaptive decoding of convolutional codes

Adaptive decoding of convolutional codes Adv. Radio Sci., 5, 29 214, 27 www.adv-radio-sci.net/5/29/27/ Author(s) 27. This work is licensed under a Creative Commons License. Advances in Radio Science Adaptive decoding of convolutional codes K.

More information

An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age

An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age INTERSPEECH 13 An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age Kazuhiro Kobayashi 1, Hironori Doi 1, Tooki Toda 1, Tooyasu Nakano 2, Masataka Goto 2, Graha Neubig

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Midterm Exam 15 points total. March 28, 2011

Midterm Exam 15 points total. March 28, 2011 Midterm Exam 15 points total March 28, 2011 Part I Analytical Problems 1. (1.5 points) A. Convert to decimal, compare, and arrange in ascending order the following numbers encoded using various binary

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY Tarannum Pathan,, 2013; Volume 1(8):655-662 INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK VLSI IMPLEMENTATION OF 8, 16 AND 32

More information

Benefits of a Small Diameter Category 6A Copper Cabling System

Benefits of a Small Diameter Category 6A Copper Cabling System Benefits of a Sall Diaeter Category 6A Copper Cabling Syste The Panduit TX6A-SD Gig UTP Copper Cabling Syste with MaTriX Technology is a cost effective, sall diaeter Category 6A UTP cabling syste that

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL

Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL K. Rajani *, C. Raju ** *M.Tech, Department of ECE, G. Pullaiah College of Engineering and Technology, Kurnool **Assistant Professor,

More information

Benefits of a Small Diameter Category 6A Copper Cabling System

Benefits of a Small Diameter Category 6A Copper Cabling System Benefits of a Sall Diaeter Category 6A Copper Cabling Syste The Panduit TX6A-SD Gig UTP Copper Cabling Syste with MaTriX Technology is a cost effective, sall diaeter Category 6A UTP cabling syste that

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS COMPUTATIONAL REDUCTION LOGIC FOR ADDERS 1 R. Shanmukha Sandeep, 1 P.V. Anusha Unni, 2 M. Siva Kumar, 2 Syed Inthiyaz 1 shanmuksandeep@gmail.com, 1 anushaunni.auau@gmail.com, 2 siva4580@kluniversity.in,

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application K Allipeera, M.Tech Student & S Ahmed Basha, Assitant Professor Department of Electronics & Communication Engineering

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 239 42, ISBN No. : 239 497 Volume, Issue 5 (Jan. - Feb 23), PP 7-24 A High- Speed LFSR Design by the Application of Sample Period Reduction

More information

Memory efficient Distributed architecture LUT Design using Unified Architecture

Memory efficient Distributed architecture LUT Design using Unified Architecture Research Article Memory efficient Distributed architecture LUT Design using Unified Architecture Authors: 1 S.M.L.V.K. Durga, 2 N.S. Govind. Address for Correspondence: 1 M.Tech II Year, ECE Dept., ASR

More information

Performance Analysis of Convolutional Encoder and Viterbi Decoder Using FPGA

Performance Analysis of Convolutional Encoder and Viterbi Decoder Using FPGA Performance Analysis of Convolutional Encoder and Viterbi Decoder Using FPGA Shaina Suresh, Ch. Kranthi Rekha, Faisal Sani Bala Musaliar College of Engineering, Talla Padmavathy College of Engineering,

More information

An Adaptive Reed-Solomon Errors-and-Erasures Decoder

An Adaptive Reed-Solomon Errors-and-Erasures Decoder An Adaptive Reed-Solomon Errors-and-Erasures Decoder Lilian Atieno, Jonathan Allen, Dennis Goeckel and Russell Tessier Department of Electrical and Computer Engineering University of Massachusetts Amherst,

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

FPGA Implementation OF Reed Solomon Encoder and Decoder

FPGA Implementation OF Reed Solomon Encoder and Decoder FPGA Implementation OF Reed Solomon Encoder and Decoder Kruthi.T.S 1, Mrs.Ashwini 2 PG Scholar at PESIT Bangalore 1,Asst. Prof, Dept of E&C PESIT, Bangalore 2 Abstract: Advanced communication techniques

More information

Implementation of a turbo codes test bed in the Simulink environment

Implementation of a turbo codes test bed in the Simulink environment University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering and Information Sciences 2005 Implementation of a turbo codes test bed in the Simulink environment

More information

Design & Simulation of 128x Interpolator Filter

Design & Simulation of 128x Interpolator Filter Design & Simulation of 128x Interpolator Filter Rahul Sinha 1, Sonika 2 1 Dept. of Electronics & Telecommunication, CSIT, DURG, CG, INDIA rsinha.vlsieng@gmail.com 2 Dept. of Information Technology, CSIT,

More information