An Industrial Case Study for X-Canceling MISR

Size: px
Start display at page:

Download "An Industrial Case Study for X-Canceling MISR"

Transcription

1 An Industrial Case Study for X-Canceling MISR Joon-Sung Yang, Nur A. Touba Coputer Engineering Research Center University of Texas, Austin, TX 7872 Shih-Yu Yang, T.M. Mak Intel Corporation Portland, OR 9724 Abstract An X-tolerant ultiple-input signature register (MISR) copaction ethodology that copacts output streas containing unknown (X) values was described in [Touba 7]. Unlike conventional approaches, it does not use X- asking logic at the input of the MISR. Instead it uses sybolic siulation to express each bit of the MISR signature as a linear equation in ters of the X s. Linearly dependent cobinations of the signature bits are identified with Gaussian eliination and XORed together to cancel out all X values and yield deterinistic values. This new X-canceling approach was applied to soe industrial designs under the constraints iposed by an industrial test environent. Practical issues for ipleenting X-canceling are discussed, and a new architecture for ipleenting X-canceling based on using a shadow register with ultiple selective XORs is presented. Experiental results are shown for industrial designs coparing the perforance of X-canceling with X-copact.. Introduction can copact an output strea that contains X s without the need for X-asking. X-tolerant copactors have been developed based on linear cobinational copactors [Mitra 4a], [Patel 3], [Shara 5], convolutional copactors [Rajski 5], and circular registers [Rajski 6b]. While ultiple-input signature registers (MISRs) are the ost efficient for copacting output streas without X s, they present difficulties when X s are present because the X s quickly spread and corrupt the signature bits [Mitra 4b]. In [Touba 7], the concept of canceling out X s fro MISR signatures was proposed. An X-canceling MISR ethodology was described which can achieve arbitrarily high error coverage very efficiently where error coverage is the percentage of scan cells that are observed in the presence of X s. Sybolic siulation is used to express each bit of the MISR signature as a linear equation in ters of the X s. Linearly dependent cobinations of MISR signature bits are identified with Gaussian eliination and are XORed together to cancel out all X values thereby yielding deterinistic values that are invariant of what the final values of the X s end up being during the test. In this paper, a case study using an X-canceling MISR for two industrial designs is presented. The contributions of this paper include the following: Unknown X values cause issues in copacting output streas for test copression and BIST. Uninitialized eory eleents, bus contention, floating tri-states, and other sources introduce unknown values. X values - A discussion of the practical issues in ipleenting an corrupt the final signature aking it unknown. A nuber X-canceling ethod for industrial designs. of schees have been developed to deal with the proble - A new architecture for ipleenting an X-canceling of X s in the output response. MISR using a shadow register with ultiple selective One way of handling X s is to odify the circuitunder-test XORs. (CUT) so that it does not generate X values. - Experiental results based on industrial test cases to This approach is called X-bounding and requires adding copare the perforance of X-canceling with X- design-for-testability (DFT) logic to prevent X value copact (X tolerance), and a coparison of the actual propagation to scan cells [Wang 6]. Another approach, results obtained with the theoretical equations given in which does not require odifying the CUT, is X-asking [Touba 7]. which asks out X s at the input to the copactor. Mask control data is used to specify which scan chain outputs This paper is organized as follows: Sec. 2 gives a should be asked during which clock cycles. Many description of a concept of X-canceling and explains the schees for X-asking hardware design and ask control sybolic siulation process to identify X-canceled data copression have been developed [Barnhart ], cobinations. Sec. 3 discusses soe of the issues that [Wohl, 3, 4], [Poeranz 2], [Chickerane 4], arose in the case study. In Sec. 4, the proposed X- [Volkerink 5], [Chao 5], [Tang 6], [Rajski 6a]. A canceling MISR architectures are described and analyzed. third approach is to design an X-tolerant copactor which Sec. 5 presents the industrial design details. The Paper 7.2 INTERNATIONAL TEST CONFERENCE /9/$ IEEE

2 evaluation and the coparison with other techniques are shown in Sec. 6. Sec. 7 is a conclusion. 2. Overview of X-Canceling MISR This section gives a brief overview of the operation of an X-canceling MISR. A ore detailed explanation can be found in [Touba 7]. Assue the output response has been captured in the scan chains after applying a test vector. The value in each scan cell is represented with a sybol. An exaple is shown in Fig.. Once the output response has been shifted in to the MISR, the final MISR signature can be expressed in ters of the sybols through sybolic siulation. Each MISR bit is represented by a linear equation of the scan cell sybols. Fig. illustrates this sybolic representation. The final value of the top bit of the MISR is X O 3 O 8 O 3, where X i denotes an X value and O i indicates a non-x value. O 3 O 4 O 5 X 3 O 8 O 9 O 6 O O 7 O X 4 O 2 X O 2 O 3 X 2 O 5 O 6 M = X O 3 O 8 O 3 M2 = X O2 X2 X3 O9 O4 M 3 = O 2 O 5 X 3 O O 5 M 4 = X O 6 O O 6 M 5 = X O 2 X 3 O 2 O 7 M 6 = O 2 X 3 X 4 Figure. Exaple of Sybolic Siulation of MISR The focus here is on the unknown values, so each MISR bit equation can be reduced to a linear cobination of the X values by assigning to each non-x values without loss of generality. These linear cobinations can be expressed in the for of a atrix as shown in Fig. 2. Each entry in the atrix has a if the MISR bit corresponding to the row depends of the X corresponding to the colun. M = X M 2 = X X 2 X 3 M 3 = X 3 M 4 = X M 5 = X X 3 M 6 = X 3 X 4 Figure 2. Linear Equations for MISR in Fig. If the nuber of coluns is less than the nuber of rows, i.e., the nuber of X s is less than the MISR size, then soe row cobinations will be linearly dependent. Gauss-Jordan eliination [Cullen 97] can be perfored on the atrix in Fig. 2 to identify the linearly dependent cobinations of rows as illustrated in Fig. 3. The last two rows in Fig. 3 have all s and this indicates cobinations of MISR bits in which all the X s cancel out. The first all- row corresponds to M M 3 M 5. This iplies that XORing MISR bits M, M 3, and M 5 generates an Xcanceled signature bit which depends only on scan cells that captured non-x values as shown below: M M 3 M 5 = O 3 O 5 O 8 O O 2 O 3 O 5 O 7 Gaussian Eliination M M M 2 M 3 M 3 M 3 M 6 M M 3 M 5 M M 4 Paper 7.2 INTERNATIONAL TEST CONFERENCE 2 M M 2 M 3 M 4 M 5 M 6 Figure 3. Gauss-Jordan Eliination of MISR Equations The values of these X-canceled MISR bit cobinations are deterinistic and can be predicted through siulation. Therefore, during test, they can be copared with their fault-free values in order to detect errors. The MISR is operated across any clock cycles and ay span ultiple test vectors until the MISR fills up with X s. The MISR signature is then processed by selectively XORing linearly dependent cobinations of MISR bits in ters of the X s to generate X-free output response to send to the tester. The error coverage can be ade arbitrarily high by generating and checking a sufficient nuber of X-canceled output responses. The probability of not detecting an error drops by a factor of 2 for each X-canceled cobination that is checked. Note that the error coverage does not depend on the actual distribution of the X s in the output response, i.e., it doesn t atter how any X s there are in any particular scan slice. 3. Issues for Case Study This case study involved investigating the application of an X-canceling MISR to two industrial designs. When using X-copact [Mitra 4a] for these two designs, the fault coverage dropped significantly fro the case where the output response was not copressed. While X- copact is guaranteed to be able to tolerate one X per scan slice, the distribution of X s in these designs was such that any scan slices had too any X s to be efficiently copacted with X-copact. One way to

3 iprove the fault coverage would be to partition the outputs to ultiple saller X-copact networks, however, that would result in less copaction and hence increase the nuber of tester channels needed for output response as well as the aount of test data. The idea of this study was to see whether an X-canceling MISR could provide better results since its error coverage does not depend on the distribution of X s in each scan slice. The X-canceling MISR architecture described in [Touba 7] requires only a single tester channel for the output response thus freeing up the reaining tester channels for providing input stiulus. Details of this architecture are given in Sec. 4.. This architecture is very good for ulti-site testing and other applications where it is desirable to have ore tester channels for input stiulus and fewer channels for output response. However, in the application considered in this case study, there were soe issues for using this architecture:. It was preferred to have ore output response channels to aid in debug/diagnosis. 2. The ipleentation in Sec. 4. requires a scan architecture that is able to pause the scan load/unload operation during the processing of the MISR signature. This requires the ability to retain the values in the scan cells which requires soe for of clock gating. 3. Since the cycle count of each load/unload procedure is different, it ight be difficult to validate/debug patterns. To address these issues, a new architecture for efficiently ipleenting an X-canceling MISR was developed which is based on having ultiple selective XORs operating in parallel at the output. Details of this architecture are given in Sec It separates the control of the scan load/unload operation fro the MISR signature processing operation which resolves the issues listed above. In this case study, experients were perfored for both architectures to see how the results copared. 4. X-Canceling MISR Architectures The two X-canceling MISR architectures that were investigated in this case study are described in this section. 4. X-Canceling with Tie Multiplexing Fig. 4 shows the architecture for X-canceling with tie ultiplexing. The key idea is that two phases are alternated over tie: a test vector application phase and a signature processing phase. During the test vector application phase, tester channels are used to load the scan vectors through a decopressor. After the capture cycle, the output response is shifted into an -bit MISR through a phase-shifter as the next test vector is loaded. This proceeds across ultiple clock cycles and even ultiple scan vectors until the MISR fills up with X s. At that point, the scan shifting is stopped, and the signature processing phase begins. Linearly dependent cobinations of MISR bits are coputed via sybolic siulation as described in Sec. 2. The X-canceled cobinations are generated using a selective XOR network. In the signature processing phase, the tester channels are used to drive the control inputs to the selective XOR. The tester channels are used to generate the X-canceled cobinations by selecting which of the -bits in the MISR should be XORed together. Once the MISR signature has been processed (i.e., a sufficient nuber of X-canceled cobinations have been generated), then the MISR is reset and the test vector application phase resues. Note that the tester channels are fully utilized at all ties to drive the scan vector decopressor during the test application phase and to drive the selective XOR during the signature processing phase. Tester Channels Paper 7.2 INTERNATIONAL TEST CONFERENCE 3 Decopressor n s MISR control signal Phase Shifter -bit M I S R & & & XOR Selective XOR Figure 4. X-Canceling with Tie Multiplexing Table. Error Coverage versus Nuber of X-Canceled Cobinations (q) X-Canceled Cobinations (q) Error Coverage 5% 2 75% % % % % % % % 99.9% The error coverage that is provided depends on the nuber of X-canceled cobinations that are checked. Since the MISR with a priitive polynoial has a pseudo-rando property, each X-canceled cobination will depend on roughly half of the scan cells capturing X-Free

4 non-x values. Therefore, if q X-canceled cobinations are checked, the error coverage will be theoretically equal to -2 -q. If an -bit MISR is used, it can store up to -q X s and obtain a -2 -q error coverage by checking q linearly dependent cobinations of MISR signature bits obtained via Gauss-Jordan eliination. For exaple, if 7 X-canceled cobinations are checked, the error coverage is equal to -2-7 = 99.2%. Table shows the theoretical error coverage with q X-canceled cobinations. Additional test tie is required to stop the test vector application phase and perfor the signature processing phase. The nuber signature processing phases that are required depends on the X density (percentage of output response bits that are X s), MISR size, and target error coverage. The nuber of signature processing phases can be predicted. Assue that the X density is x%, there are n scan chains, and q X-canceled cobinations are checked to get -2 -q target error coverage. Based on the given inforation, the theoretical test tie can be calculated. In one scan slice, assuing a Gaussian X distribution, there would be n*x X s. The MISR can tolerate up to -q X s to achieve the target test coverage. It takes (-q)/(n*x) cycles to fill up the MISR with -q X s. Hence, the signature needs to be processed at every (-q)/(n*x) cycles. In the signature processing phase, q cycles are needed to provide the control data for generating the q X- canceled cobinations. Therefore, if the total nuber of cycles needed to apply the test patterns without stopping scan shifting is c, then the nuber of additional cycles added for canceling out the X s is [c / (-q)/(n*x)]*q. Hence, the total test tie and noralized test tie with respect to the test tie with no copaction is equal to: Total Test Tie = c [(c*n*x*q) / (-q)] Cycles Noralized Total Test Tie = [(n*x*q)/(-q)] While the test tie goes up, note that only one tester channel is needed for the output response, so all the other tester channels could be used for providing test stiulus thereby peritting the use of ore scan chains and thereby lowering c. This actually results in a lower overall test tie. The other benefit of this schee is that the sae tester channels are used for both test vector decopression and MISR signature processing via tie ultiplexing. Hence, no additional control tester channels are needed other than one channel to stop and resue MISR operation. For the output response, a single tester channel can be used for transferring the X-canceled bits. The requireents can thus be suarized as follows: Input Tester Channels: Decopressor Channels Output Tester Channels: 4.2 X-Canceling with Shadow Register If it is not desirable to halt scan shifting to process the interediate MISR signatures, an alternate approach would be to use a shadow register. Fig. 5 shows the X- canceling with shadow register architecture. The shadow register is placed after the ain MISR and retains the interediate signature for further processing. This allows the MISR to continue to copress the scan data without interruptions. Additional control inputs fro the tester are used to provide the control signals to one or ultiple selective XOR networks. Tester Channels Paper 7.2 INTERNATIONAL TEST CONFERENCE 4 Decopressor n s MISR control signal *k X-canceling control signals Phase Shifter -bit M I S R -bit S H A D O W Selective XOR # Selective XOR #k Figure 5. X-Canceling with Shadow Register When the MISR fills up with X s, the contents of the MISR are transferred to a shadow register, and the MISR is iediately reset so that scan shifting can continue uninterrupted. The saved interediate signature in the shadow register is then processed to extract the X- canceled cobinations as the next signature is being generated in a ain MISR. Control signals need to be transferred while both the MISR and shadow register are operating. Therefore, extra tester channels are used to provide the control data that selects the X-canceled cobinations. In this schee, because the shadow register gets rid of the additional test cycles for X-canceling, there is no additional test tie penalty. As shown earlier, the error coverage depends on how any X-canceled cobinations (q) are checked. X-canceling with tie ultiplexing requires q cycles to reach -2 -q error coverage during each signature processing phase. However, X-canceling with a shadow register only allows extracting X-canceled cobinations before the next interediate signature is transferred fro the MISR to the shadow register. Calculating the theoretical error coverage is different in this case fro what was done in Sec. 4.. Fig. 5 shows k selective XOR gates after the shadow register. This allows k X-canceled cobinations to be checked each clock cycle. However, the nuber of clock cycles over which the signature can be processed is liited by the tie it takes for the MISR to fill up with X s again. Let the signature transfer period be defined as the nuber of clock cycles fro when one interediate signature is k X-Free

5 transferred fro the MISR to the shadow register until the next one is transferred. The nuber of X-canceled cobinations that are checked is deterined by the nuber of selective XOR gates that are used ties the nuber of cycles over which the signature is processed which is the signature transfer period. For k selective XOR gates, the error coverage is -2 -k after the first cycle. In the second cycle, the reaining errors that have not been covered yet are (-(-2 -k )), so the error coverage for the is again -2 -k, hence the resulting error coverage after the second cycle is (-(-2 -k ))*(-2 -k ) plus the error coverage after the first cycle. This is illustrated below: Cov = 2 -k (Coverage at st cycle) Cov 2 = Cov ( - Cov ) * (-2 -k ) (Coverage at 2 nd cycle) : : Cov s = Cov s- ( - Cov s- ) * (-2 -k ) (Coverage at s th cycle) Table 2 shows the error coverage for different values of k and the signature transfer period, s. Table 2. Error Coverage for X-Canceling with Shadow Register Schee k XOR Gates (k Check/Cycle) s cycle (signature transfer Error Coverage cycle) 5.% 2 75.% % % 75.% % % % 87.5% % % % 93.75% % % % Unlike X-canceling with tie ultiplexing, X- canceling with a shadow register dedicates tester channels to provide control signals to the selective XORs. Hence, if k XOR gates (k Checks/Cycle) are used, *k input tester channels are needed for driving the where is the size of the MISR. And one input tester channel needs to be assigned to control when the MISR signature is transferred to the shadow register and reset. For the output response, k tester channels are required. The requireents can thus be suarized as follows: Input Tester Channels: Decopressor Channels (MISR_size * Checks/Cycle) Output Tester Channels: Checks/Cycle 5. Details of Industrial Designs Two industrial designs fro Intel were analyzed in detail for the experients. 5. The First Test Case The first test case (Ckt) has 33 input and output tester channels respectively. Ckt has a x copression ratio. 33 inputs are expanded into 33 scan chains using Illinois scan [Hazaoglu 99]. Ckt has three subblocks (A, B, and C). 62, 38, and 3 output tester channels are assigned to Ckt-A, Ckt-B, and Ckt-C respectively and 2 output channels are used for bypass ode. Ckt-A has 5 scan chains, Ckt-B has 23 chains and Ckt-C has 75 scan chains as shown in Fig. 6. The longest scan chain length is autoatic test pattern generation (ATPG) patterns were analyzed to deterine the X density of each block in Ckt. Ckt-A has the least X density aong three units. The X density is.7% and the average nuber of X s per each scan slice is.73 (5 *.7%), i.e,.73 X s arrive at the copactor inputs every cycle. Ckt-B has 3.35% X density and the average nuber of X s per scan slice is % X density is found in Ckt-C and this generates 2.46 X s per scan slice. 33 Decopressor 33 s Ckt-A Ckt-A 5 Ckt-B Ckt-B 23 Ckt-C Ckt-C 75 Copactor Figure 6. First Test Case 5.2 The Second Test case 33 The second test case (Ckt2) has relatively fewer test channels than the first test case in Sec. 5.. Ckt2 has 6 input and output tester channels. There are three partitions (A, B and C) in the design which are connected in a daisy chain anner. Ckt2-A, Ckt2-B, and Ckt2-C all Paper 7.2 INTERNATIONAL TEST CONFERENCE 5

6 have 64 scan chains. Ckt2 has a 4x copression ratio. 6 inputs are expanded to fill 64 scan chains. Ckt2-A has a 2.% X density and the average X s per scan slice is.28. Ckt2-B has.5% X density which gives.67 average X s in a scan slice. 2.74% X density is found in Ckt2-C and.76 X s are in a scan slice, on average. 6 Decopressor Ckt2-A Ckt2-A s Ckt2-B Ckt2-B 64 Ckt2-C Ckt2-C s 64 s Figure 7. Second Test Case 6. Experiental Results Experients were perfored for these two test cases described in Sec. 5. The X-canceling with tie ultiplexing and X-canceling with shadow register schees are analyzed and copared with X-copact [Mitra 4a] which is widely used. 6. X-Canceling with Tie Multiplexing Table 3 shows the results for X-canceling with tie ultiplexing. A 32-bit MISR is used for each of the three blocks in Ckt to copact the responses fro the scan chains and to generate X-canceled cobinations. The outputs of the scan chains are fed into a phase shifter before going to the MISR to reduce shift correlation [Touba 7]. The first colun shows the circuits, and the second colun shows the types of copactors. As shown in Sec. 4., the error coverage depends on how any X- canceled cobinations (q) are checked. Results were generated for values of q ranging fro 4 to 8. The third colun shows the nuber of input and output tester channels used. The forula for the required nuber of input and output channels was given in Sec. 4.. The nuber of two input XOR gates is shown in the fourth colun. X-canceling with tie ultiplexing requires (fanout * scan_chain ) XOR gates when an -bit MISR is used. For Ckt-A, a 32-bit MISR where each scan chain output fans out to 7 XOR gates in a phase shifter is used, so the nuber of two input XOR gates is 7,38 (7*5 3) for Ckt-A. The fifth colun shows the test tie for each schee. The results are noralized with respect to the results for X-copact. The additional test tie for control signal transfer (as described in Sec. 4.) is also noralized and shown in the fifth colun. The last colun shows the error coverage. Unlike other schees, the error coverage for an X-canceling MISR can Copactor 6 be estiated based on the nuber of X-canceled cobinations that are observed. The experiental results show what the theory would estiate the coverage and test tie to be for purposes of coparison with the actual values. For Ckt2, a 64-bit MISR and a phase shifter with 5 fanouts per scan chain were used. Larger MISRs can hold ore X s before needing to be processed, however, they also require ore data to process each signature, so the net effect is that test tie and storage is relatively constant regardless of the MISR size. The ain issue with the MISR size is the nuber of X s in a single scan slice that it can handle. The MISR size should not be saller than the axiu nuber of X s in any scan slice. As can be seen fro Table 3, the proposed ethod achieves an error coverage and test tie very close to that predicted by the theoretical forula. The reason for the slight deviation is that the forulas assue the MISR can stop when it takes exactly the full nuber of X s values that it can hold. However, in practice, the X s are entering the MISR in clusters scan slice by scan slice, so if the next scan slice puts the nuber of X s over the liit, the MISR signature ust first be processed before it can copact that scan slice. This results in soe extra test tie in coparison to that predicted by the theoretical forulas. In coparing the results for X-canceling with X- copact, any fewer output tester channels are required while arbitrarily higher error coverage can be achieved to whatever the desired level is. For Ckt, less overhead is required for X-canceling. For Ckt2, the overhead is very low for both ethods. X-canceling with tie ultiplexing does have higher test tie in this scenario because the output tester channels that have been reduced have not be used for providing test stiulus. Effectively, the tester bandwidth allocated for X-canceling here is less than that for X-copact. Looking at the individual partitions, it can be seen that Ckt-A has very low X-density, and both X-copact and X-canceling perfor very well. X-canceling requires any fewer output tester channels and less overhead with a bit ore test tie. For Ckt-B and Ckt-C, the X- density is over 3% in both cases, and the error coverage provided by X-copact is low. This occurs because soe scan slices have any X s. Note that even though Ckt-B and Ckt-C have siilar X-densities, the X-copact coverage for Ckt-B is uch lower. This is because the distribution of X s in Ckt-B is such that coverage is lost for a larger percentage of scan slices than in Ckt-C. The X s in Ckt-C are ore clustered in fewer scan slices, so the percentage of scan slices where coverage is lost is less. X-canceling can achieve high error coverage for any distribution of X s, so it perfors very well in ters of error coverage. The cost of achieving the higher error coverage is additional test tie, but again fewer output Paper 7.2 INTERNATIONAL TEST CONFERENCE 6

7 Table 3. Results for X-Canceling MISR with Tie ultiplexing Copared with X-Copact Circuit Copactor Estiated Actual Estiated Actual Tester Channels Nu. Test Tie Test Tie Error Error XORs Input Output (Noralized) (Noralized) Coverage Coverage X-Copact ,865 N/A N/A 99.4% Ckt-A q = , % 93.7% q = , % 96.8% X-density X-Canceling q = , % 98.4% =.7% q = , % 99.2% q = , % 99.6% X-Copact ,35 N/A N/A 36.9% Ckt-B q = 4 34, % 93.7% q = 5 34, % 96.8% X-density X-Canceling q = 6 34, % 98.4% = 3.35% q = 7 34, % 99.2% q = 8 34, % 99.6% X-Copact 33 3,3 N/A N/A 86.8% Ckt-C q = % 93.5% q = % 96.6% X-density X-Canceling q = % 98.2% = 3.28% q = % 99.% q = % 99.3% X-Copact N/A N/A 95.4% Ckt2-A q = % 93.6% q = % 96.7% X-density X-Canceling q = % 98.3% = 2.% q = % 99.% q = % 99.5% X-Copact N/A N/A 97.9% Ckt2-B q = % 93.6% q = % 96.7% X-density X-Canceling q = % 98.3% =.67% q = % 99.% q = % 99.5% X-Copact N/A N/A 92.7% Ckt2-C q = % 93.5% q = % 96.6% X-density X-Canceling q = % 98.2% = 2.74% q = % 99.% q = % 99.4% tester channels are required. For Ckt2, X-copact is using 32 tester channels, while X-canceling is using only 8 tester channels. If the 4 tester channels that are reduced with X-canceling were to be eployed in providing test stiulus, then X-canceling would have lower test tie in all cases while providing greater error coverage. 6.2 X-Canceling with Shadow Register Results for X-canceling with shadow registers are shown in Table 4. The control signals for generating the X- canceled cobinations are provided by dedicated tester channels rather than through tie ultiplexing, so the test tie is exactly the sae for both X-copact and X- canceling. The first colun shows the circuits and the second colun shows the types of copactors with different nubers of checks/cycle. The nuber of required input and output tester channels and the nuber of two input XOR gates are shown in the third and fourth colun respectively. The last colun shows the error coverage. As before, the error coverage for X-canceling can be ade arbitrarily high. In this case, iproving the error coverage coes at the cost of requiring ore checks/cycle which requires ore input tester channels, however, the test tie reains constant. Paper 7.2 INTERNATIONAL TEST CONFERENCE 7

8 Table 4. Results for X-Canceling MISR with Shadow Register Copared with X-Copact (for the Sae Test Tie) Circuit Copactor Checks/Cycle Estiated Actual Tester Channels Nu. Error Error XORs Input Output Coverage Coverage X-Copact N/A ,865 N/A 99.4% 46 5, % 93.7% Ckt-A X-Canceling , % 98.2% 2-Bit MISR , % 99.% , % 99.4% X-Copact N/A ,35 N/A 36.9% 48, % 74.4% Ckt-B X-Canceling , % 9.2% 9-Bit MISR , % 97.9% 4 9 4, % 98.9% X-Copact N/A 33 3,3 N/A 86.8% 43, % 87.3% Ckt-C X-Canceling ,4 98.4% 95.8% 4-Bit MISR 3 6 3, % 97.6% 4 7 4, % 98.8% X-Copact N/A N/A 95.4% % 93.6% Ckt2-A X-Canceling % 98.% 6-Bit MISR % 98.92% % 99.2% X-Copact N/A N/A 97.9% % 93.67% Ckt2-B X-Canceling % 98.7% 6-Bit MISR % 98.96% % 99.24% X-Copact N/A N/A 92.7% % 93.63% Ckt2-C X-Canceling % 98.2% 6-Bit MISR % 99.% % 99.39% 6.3 Fault Coverage Results Fault grading was perfored on Ckt to see the actual fault coverage that is achieved by the X-canceling ethods and X-Copact. For each block in Ckt, a 32-bit MISR with q = 8 configuration is used for X-canceling with tie ultiplexing. For X-canceling with shadow registers, a configuration was selected which has a siilar nuber of tester channels to X-Copact for a fair coparison. The following is used: 2-bit MISR with 4 checks/cycle for Ckt-A, 9-bit MISR with 2 checks/cycle for Ckt-B, and 4-bit MISR with 2 checks/cycle for Ckt-C. This configuration requires 268 tester channels and X-Copact needs 266 channels. The fault coverage for 3 ATPG patterns is shown in Fig. 8. Without any copression, slightly over 9% fault coverage is obtained. As shown in Table 3 and Table 4, the X-canceling MISR schees achieve high error coverage which translates to fault coverage which is very close to what is obtained without any copression. The fault coverage for X- Copact, however, is 2~3% lower. Paper 7.2 INTERNATIONAL TEST CONFERENCE 8

9 9. Test Coverage (%) W/O Copression X-Canceling with Tie Multiplexing 7. X-Canceling with Shadow Register X-Copact Nu. of Test Patterns Figure 8. Fault Grading Results for Ckt with Different Schees 7. Conclusions This industrial case study shows the benefits of X- canceling in ters of its scalability and ability to systeatically achieve high fault coverage regardless of the distribution of X s. Two different architectures were presented for X-canceling which can be used based on what the tester channel and test tie requireents are for a particular design. It was also shown the theoretical equations for estiating the error coverage for X- canceling atched closely with the actual error coverage achieved in the experients. References [Barnhart ] Barnhart, C., V. Brunkhorst, F. Distler, O. Farnsworth, B. Keller, and B. Koeneann, OPMISR: the Foundation for Copressed ATPG Vectors, Proc. of International Test Conference, pp , 2. [Chao 5] Chao, M. C.-T., S. Wang, S.T. Chakradhar, and K.-T. Cheng, Response Shaper: A Novel Technique to Enhance Unknown Tolerance for Output Response Copaction, Proc. of International Conference on Coputer-Aided Design, pp. 8-87, 25. [Chickerane 4] Chickerane, V., B. Foutz, and B. Keller, Channel Masking Synthesis for Efficient On-Chip Test Copression, Proc. of International Test Conference, pp , 24. [Cullen 97] Cullen, C.G., Linear Algebra with Applications, Addison-Wesley, ISBN , 997. [Garg 8] Garg, R., R. Putan, and N.A. Touba, Increasing Output Copaction in Presence of Unknowns Using an X- Canceling MISR with Deterinistic Observation, Proc. of IEEE VLSI Test Syposiu, pp , 28. [Hazaoglu 99] Hazaoglu, I., and J.H. Patel, Reducing Test Application Tie for Full Scan Ebedded Cores, Digest of Papers 29th Ann. Int l Syp. Fault Tolerant Coputing, IEEE Press, pp , 999. [Mitra 4a] Mitra, S., and K.S. Ki, X-Copact: An Efficient Response Copaction Schee, IEEE Trans. on Coputer-Aided Design, Vol. 23, No. 3, pp , Mar. 24. [Mitra 4b] Mitra, S., S.S. Luetta, and M. Mitzenacher, X- Tolerant Signature Analysis, Proc. of International Test Conference, pp , 24. [Patel 3] Patel, J.H., S.S. Luetta, and S.M. Reddy, Application of Saluja-Karpovsky Copactors to Test Responses with Many Unknowns, Proc. of VLSI Test Syposiu, pp. 7-2, 23. [Poeranz 2] Poeranz, I., S. Kundu, and S.M. Reddy, On Output Response Copression in the Presence of Unknown Output Values, Proc. of Design Autoation Conference, pp , 22. [Rajski 5] Rajiski, J., J. Tyszer, C. Wang and S.M. Reddy, Finite Meory Test Response Copactors for Ebedded Test Applications, IEEE Trans. on Coputer-Aided Design, Vol. 24, No. 4, pp , Apr. 25. Paper 7.2 INTERNATIONAL TEST CONFERENCE 9

10 [Rajski 6a] Rajski, J., J. Tyszer, G. Mrugalski, W.-T. Cheng, N. Mukherjee, and M. Kassab, X-Press Copactor for x Reduction of Test Data, Proc. of International Test Conference, Paper 8., 26. [Rajski 6b] Rajski, W., and J. Rajski, Modular Copactor of Test Responses, Proc. of VLSI Test Syposiu, pp , 26. [Patel 3] Patel, J.H., S.S. Luetta, and S.M. Reddy, Application of Saluja-Karpovsky Copactors to Test Responses with Many Unknowns, Proc. of VLSI Test Syposiu, pp. 7-2, 23. [Shara 5] Shara M. and W.-T. Cheng, X-Filter: Filtering Unknowns fro Copacted Test Responses, Proc. of International Test Conference, Paper 42., 25. [Tang 6] Tang, Y., H.-J. Wunderlich, P. Engelke, I. Polian, B. Becker, J. Scholöffel, F. Hapke, and M. Wittke, X- Masking During Logic BIST and Its Ipact on Defect Coverage, IEEE Trans. on VLSI, Vol. 4, No. 2, Feb. 26. [Volkerink 5] Volkerink, E.H., and S. Mitra, Response Copaction with Any Nuber of Unknowns Using a New LFSR Architecture, Proc. of Design Autoation Conference, pp. 7-22, 25. [Wang 6] L.T. Wang, C.-W. Wu, X. Wen, VLSI Test Principles and Architectures, Morgan Kaufann, 26. [Wohl ] Wohl, P., J.A. Waicukauski, and T.W. Willias, Design of Copactors for Signature-Analyzers in Built-In Self-Test, Proc. of International Test Conference, pp , 2. [Wohl 3] Wohl, P., J.A. Waicukauski, S. Patel, and M.B. Ain, X-Tolerant Copression and Application of Scan-ATPG Patterns in a BIST Architecture, Proc. of International Test Conference, pp , 23. [Wohl 4] Wohl, P., J.A. Waicukauski, and S. Patel, Scalable Selector Architecture for X-Tolerant Deterinistic BIST, Proc. of Design Autoation Conference, pp , 24. [Touba 7] Touba, N.A., X-Canceling MISR An X-Tolerant Methodology for Copacting Output Responses with Unknowns Using a MISR, Proc. of International Test Conference, paper 6.2, 27 Paper 7.2 INTERNATIONAL TEST CONFERENCE

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Design for Verication at the Register Transfer Level. Krishna Sekar. Department of ECE. La Jolla, CA RTL Testbench

Design for Verication at the Register Transfer Level. Krishna Sekar. Department of ECE. La Jolla, CA RTL Testbench Design for Verication at the Register Transfer Level Indradeep Ghosh Fujitsu Labs. of Aerica, Inc. Sunnyvale, CA 94085 USA Krishna Sekar Departent of ECE Univ. of California, San Diego La Jolla, CA 92093

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points 2009 24th IEEE International Symposium on efect and Fault Tolerance in VLSI Systems Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to rive Control Points Joon-Sung Yang

More information

Channel Masking Synthesis for Efficient On-Chip Test Compression

Channel Masking Synthesis for Efficient On-Chip Test Compression Channel Masking Synthesis for Efficient On-Chip Test Compression Vivek Chickermane, Brian Foutz, and Brion Keller {vivekc, foutz, kellerbl}@cadence.com Cadence Design Systems, 1701 North Street, Endicott,

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Estimating PSNR in High Definition H.264/AVC Video Sequences Using Artificial Neural Networks

Estimating PSNR in High Definition H.264/AVC Video Sequences Using Artificial Neural Networks RADIOEGIEERIG, VOL. 7, O. 3, SEPTEMBER 008 3 Estiating PSR in High Definition H.64/AVC Video Sequences Using Artificial eural etworks Martin SLAIA, Václav ŘÍČÝ Dept. of Radio Electronics, Brno University

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

FPGA Implementation of High Performance LDPC Decoder using Modified 2-bit Min-Sum Algorithm

FPGA Implementation of High Performance LDPC Decoder using Modified 2-bit Min-Sum Algorithm Second International Conference on Coputer Research and Developent FPGA Ipleentation of High Perforance LDPC Decoder using Modified 2-bit Min-Su Algorith Vikra Arkalgud Chandrasetty and Syed Mahfuzul Aziz

More information

Deterministic BIST Based on a Reconfigurable Interconnection Network

Deterministic BIST Based on a Reconfigurable Interconnection Network Deterministic BIST Based on a Reconfigurable Interconnection Network Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding C. V. KRISHNA, ABHIJIT JAS, and NUR A. TOUBA University of Texas, Austin Previous forms of LFSR reseeding have been static (i.e.,

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Test Compression for Circuits with Multiple Scan Chains

Test Compression for Circuits with Multiple Scan Chains Test Compression for Circuits with Multiple Scan Chains Ondřej Novák, Jiří Jeníček, Martin Rozkovec Institute of Information Technologies and Electronics Technical University in Liberec Liberec, Czech

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

HIGHER circuit densities and ever-increasing design

HIGHER circuit densities and ever-increasing design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2004 1289 Test Set Embedding for Deterministic BIST Using a Reconfigurable Interconnection Network

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Logic BIST for Large Industrial Designs: Real Issues and Case Studies Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Deterministic Logic BIST for Transition Fault Testing 1

Deterministic Logic BIST for Transition Fault Testing 1 Deterministic Logic BIST for Transition Fault Testing 1 Abstract Valentin Gherman CEA, LIST Boîte Courrier 65 Gif-sur-Yvette F-91191 France valentin.gherman@cea.fr Hans-Joachim Wunderlich Universitaet

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Hybrid BST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Abhijit Jas, C.V. Krishna, and Nur A. Touba Computer Engineering Research Center Department of Electrical and

More information

LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION

LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION SPARC-BD-3/6 SPARC-RF-3/3 25 Noveber 23 LONGITUDINAL AND TRANSVERSE PHASE SPACE CHARACTERIZATION D. Alesini, C. Vaccarezza, (INFN/LNF) Abstract The characterization of the longitudinal and transverse phase

More information

Test Point Insertion with Control Point by Greater Use of Existing Functional Flip-Flops

Test Point Insertion with Control Point by Greater Use of Existing Functional Flip-Flops Test Point Insertion with Control Point by Greater Use of Existing Functional Flip-Flops Joon-Sung Yang and Nur A. Touba This paper presents a novel test point insertion (TPI) method for a pseudo-random

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * V. Tenentes, X. Kavousianos and E. Kalligeros 2 Computer Science Department, University of Ioannina, Greece 2

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Launch-on-Shift-Capture Transition Tests

Launch-on-Shift-Capture Transition Tests Launch-on-Shift-Capture Transition Tests Intaik Park and Edward J. McCluskey Center for Reliable Computing, Stanford University, Stanford, USA Abstract The two most popular transition tests are launch-on-shift

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Test-Pattern Compression & Test-Response Compaction Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Outline Introduction to Scan-based Testing Input-Pattern Compression Type of compressions Compression

More information

An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age

An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age INTERSPEECH 13 An Investigation of Acoustic Features for Singing Voice Conversion based on Perceptual Age Kazuhiro Kobayashi 1, Hironori Doi 1, Tooki Toda 1, Tooyasu Nakano 2, Masataka Goto 2, Graha Neubig

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY 1 Chava.swapna, PG Scholar in VLSI, 2 D.Venkataramireddy, M.Tech, Assoc. Professor, ECE Department, 1 chava.swapna@gmail.com,

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

ISSN Vol.04, Issue.09, September-2016, Pages:

ISSN Vol.04, Issue.09, September-2016, Pages: ISSN 2322-0929 Vol.04, Issue.09, September-2016, Pages:0825-0832 www.ijvdcs.org Low-Power Programmable PRPG with Test Compression Capabilities P. SUJATHA 1, M. MOSHE 2 1 PG Scholar, Dept of ECE, Princeton

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Meng-Fan Wu, Jiun-Lang Huang Graduate Institute of Electronics Engineering Dept. of

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Motion-Induced and Parametric Excitations of Stay Cables: A Case Study

Motion-Induced and Parametric Excitations of Stay Cables: A Case Study Motion-Induced and Paraetric Excitations of Stay Cables: A Case Study Authors: Stoyan Stoyanoff, Rowan Willias Davies and Irwin, Inc., 09 bd. de Broont, Broont, Quebec, JL K7, Stoyan.Stoyanoff@rwdi.co

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Defects vs. Faults Some Data from the ELF35 and Murphy Chips

Defects vs. Faults Some Data from the ELF35 and Murphy Chips Submission to VTS 2003 To the reviewer: We are still collecting data from these chips. The blank entries in the tables will be filled in for the final version. Also, we expect to have some additional relevant

More information

LOW-OVERHEAD BUILT-IN BIST RESEEDING

LOW-OVERHEAD BUILT-IN BIST RESEEDING LOW-OVERHEA BUILT-IN BIST RESEEING Ahmad A. Al-Yamani and Edward J. McCluskey Center for Reliable Computing, Stanford University {alyamani, ejm@crc.stanford.edu} Abstract Reseeding is used to improve fault

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Expedited-Compact Architecture for Average Scan Power Reduction

Expedited-Compact Architecture for Average Scan Power Reduction Expedited-ompact Architecture for Average Scan ower Reduction Samah ohamed Ahmed Saeed omputer Science Department New York University - olytechnic Institute zgur Sinanoglu omputer Engineering Department

More information

LAN CABLETESTER INSTRUCTION MANUAL I. INTRODUCTION

LAN CABLETESTER INSTRUCTION MANUAL I. INTRODUCTION LAN CABLETESTER 4 INSTRUCTION MANUAL ftnf I. INTRODUCTION The LAN Cable Tester is an easy and effective cable tester with the ability to identify cable failures, check wiring, and easure cable length in

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction 2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction Sungyoul Seo 1, Yong Lee 1, Hyeonchan Lim 1, Joohwan Lee

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing 25 IEEE 2rd North Atlantic Workshop Multivalued Logic for Reduced Pin Count and Multi-Site SoC ing Baohu Li and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University,

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

BUILT-IN self test (BIST) solves many of today s testing

BUILT-IN self test (BIST) solves many of today s testing X-Masking During Logic BIST and Its Impact on Defect Coverage Yuyi Tang, Hans-Joachim Wunderlich, Member, IEEE, Piet Engelke, Student Member, IEEE, Ilia Polian, Member, IEEE, Bernd Becker, Senior Member,

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors ISSN : 2347-8446 (Online) International Journal of Advanced Research in Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors I D. Punitha, II S. Ram Kumar I Final Year,

More information

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information