RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION

Similar documents
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation

On the Rules of Low-Power Design

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

792 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 4, APRIL 2006

Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

Timing Error Detection and Correction by Time Dilation

32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY /$ IEEE

Performance Driven Reliable Link Design for Network on Chips

Lecture 11: Sequential Circuit Design

Slack Redistribution for Graceful Degradation Under Voltage Overscaling

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Synchronous Timing. Latch Parameters. Class Material. Homework #8 due next Tuesday

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

Structural Fault Tolerance for SOC

11. Sequential Elements

Switching Circuits & Logic Design

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

6.S084 Tutorial Problems L05 Sequential Circuits

RAZOR: A VARIABILITY-TOLERANT DESIGN METHODOLOGY FOR LOW-POWER AND ROBUST COMPUTING

Outline. 1 Reiteration. 2 Dynamic scheduling - Tomasulo. 3 Superscalar, VLIW. 4 Speculation. 5 ILP limitations. 6 What we have done so far.

The NOR latch is similar to the NAND latch

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Characterizing the Voltage Scaling Limitations of Razor-based Designs

Digital System Design

66 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 48, NO. 1, JANUARY 2013

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Logic Design. Flip Flops, Registers and Counters

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

Unit 11. Latches and Flip-Flops

Asynchronous (Ripple) Counters

ECE321 Electronics I

Sequential Circuit Design: Part 1

Power-Optimal Pipelining in Deep Submicron Technology

Sequential Circuit Design: Part 1

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

ECE 555 DESIGN PROJECT Introduction and Phase 1

Outcomes. Spiral 1 / Unit 6. Flip-Flops FLIP FLOPS AND REGISTERS. Flip-flops and Registers. Outputs only change once per clock period

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Experiment 8 Introduction to Latches and Flip-Flops and registers

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction to Sequential Circuits

EITF35: Introduction to Structured VLSI Design

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

CprE 281: Digital Logic

2.6 Reset Design Strategy

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

CHAPTER 11 LATCHES AND FLIP-FLOPS

Aging Aware Multiplier with AHL using FPGA

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

Impact of Intermittent Faults on Nanocomputing Devices

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

Chapter 7 Sequential Circuits

CS61C : Machine Structures

Fundamentals of Computer Systems

Advanced Digital Logic Design EECS 303

First Name Last Name November 10, 2009 CS-343 Exam 2

Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits

Lecture 21: Sequential Circuits. Review: Timing Definitions

Lecture 10: Sequential Circuits

Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45nm CMOS Using Architecturally Independent Error Detection and Correction

Combinational vs Sequential

CS3350B Computer Architecture Winter 2015

Memory, Latches, & Registers

Page 1) 7 points Page 2) 16 points Page 3) 22 points Page 4) 21 points Page 5) 22 points Page 6) 12 points. TOTAL out of 100

INTRODUCTION TO SEQUENTIAL CIRCUITS

Introduction to Microprocessor & Digital Logic

Built-In Proactive Tuning System for Circuit Aging Resilience

D Latch (Transparent Latch)


Chapter 5 Flip-Flops and Related Devices

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Digital Circuits and Systems

Switching Circuits & Logic Design

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

CH 11 Latches and Flip-Flops

An Automated Design Approach of Dependable VLSI Using Improved Canary FF

Fundamentals of Computer Systems

Logic Design II (17.342) Spring Lecture Outline

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

CS61C : Machine Structures

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Logic Design II (17.342) Spring Lecture Outline

Design for Testability

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

Virtually all engineers use worst-case component

Transcription:

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION Shohaib Aboobacker TU München 22 nd March 2011 Based on Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan Ernst, Trevor Mudge, Shidhartha Das, Sanjay Pant, Rajeev Rao, Toan Pham,Conrad Ziesler, David Blaauw, Todd Austin, Krisztian Flautner (ARM), and Nam Sung Kim (Intel) Published in the 36th Annual International Symposium on Microarchitecture (MICRO-36), December 2003.

Outline Introduction Critical supply voltage Razor approach Error correction and detection Circuit level implementation issues Pipeline error recovery mechanisms Supply Voltage control Summary 2

Introduction Need for high performance with low power budget Dynamic power scales quadratically with supply voltage Reducing supply voltage increases delay and limits the maximum frequency Supply voltage Dynamic Power Propagation delays Maximum frequency Dynamic Voltage Scaling Adapting voltage to meet performance demands of workload 3

Critical supply voltage Critical supply voltage Minimum supply voltage that ensures correct operation Affected by environmental and process-related variabilities Voltage drops in power supply network Temperature fluctuations Changes in doping concentration Cross-coupling noise Traditional approach to find critical voltage too conservative Pessimistic approach Worst case corner conditions highly improbable 4

Percentage errors Technische Universität München Razor approach Developed in the Electrical Engineering and Computer Science Department at the University of Michigan Operation at subcritical supply voltages Monitor error rate during operation Dynamic detection and correction of delay failures Power penalty of correction vs Voltage power savings subcritical voltage Critical voltage Traditional DVS 0 Supply voltage 5

Error detection clk Logic Stage 1 Main flip-flop Logic Stage 2 Shadow flip-flop Error delayed_clock Shadow flip-flop with delayed clock with every flip-flop Operating voltage constrained such that the worst-case delay is guaranteed to meet the shadow flip-flop setup time. No error if logic stage 1 meets setup time for main flip-flop Otherwise, main FF will latch wrong value, and shadow flip-flop will latch late correct value 6

Error detection timing diagram 7

Error correction clk Logic stage 1 0 1 Main flip-flop Logic stage 2 Shadow flip-flop Error delayed_clk If error is high, correct value from shadow flip-flop is restored to input of main flip-flop No error if logic stage 1 meets setup time for main flip-flop 8

Short path constraint t delay t hold Min. path delay 9

Short path constraint Minimum Path delay = t delay + t hold Large clock delay increases power overhead and need for buffers Small clock delay reduces margin 10

Pipelined processing P C IF ID EX MEM WB clk Cycle Instr. 1 2 3 4 5 1 2 3 4 5 6 7 8 9 IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB 11

Pipeline error recovery mechanisms Clock gating Stall pipeline for one cycle in case of error Recompute result of every stage in extra period using shadow flip-flop as input A single cycle can tolerate any number of errors 12

Pipeline recovery with clock gating P C R a IF a ID a z a EX MEM WB o r F F R z o r F F R z o r F F R z o r F F clk Cycle Instr. 1 2 3 4 5 1 2 3 4 5 6 7 8 9 10 IF ID EX MEM stall WB IF ID* EX* EX MEM WB IF ID stall EX MEM WB IF stall ID EX MEM WB stall IF ID EX MEM WB 13

Pipeline error recovery mechanisms Counterflow pipelining Uses bubble signal to invalidate following instructions Error propagation is pipelined Flush train propagates in the opposite direction When flush reaches the start, PC restarts execution. 14

Pipeline recovery with counterflow P C IF ID EX MEM WB error bubble error bubble error bubble error clk Flush control flushid flushid flushid flushid Cycle Instr. 1 2 3 4 1 2 3 4 5 6 7 8 IF ID EX MEM WB IF ID* EX* bubble MEM WB IF ID flushid flushif IF ID IF IF 15

Supply voltage control Voltage control function + E diff Voltage V Pipeline error E dd E ref sample signals _ regulator Supply voltage adjusted based on monitored error rates. Low error rates means voltage can be lowered further Increasing error rates indicate failing timing constraints and voltage should be increased Find optimal non-zero error rate 16

Power consumption Technische Universität München Power savings by Razor DVS Total power P total = P proc + P recovery Optimal P total Processing power P proc Power for error correction P recovery Supply voltage 17

Summary Purposely operate at subcritical voltages to capture data-dependent latency margins Tolerate some errors and correct them In-circuit error detection and correction using Shadow flip-flop Tune voltage based on error rate Pipeline initiates recovery after timing error Tradeoff between power savings from lower voltage and overhead of correction 18