data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Similar documents
Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

II. ANALYSIS I. INTRODUCTION

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Power Optimization by Using Multi-Bit Flip-Flops

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

LFSR Counter Implementation in CMOS VLSI

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

P.Akila 1. P a g e 60

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Chapter 5 Synchronous Sequential Logic

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

A Power Efficient Flip Flop by using 90nm Technology

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Comparative study on low-power high-performance standard-cell flip-flops

ECEN620: Network Theory Broadband Circuit Design Fall 2014

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Reduction of Area and Power of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Design of Low Power Universal Shift Register

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Area-efficient high-throughput parallel scramblers using generalized algorithms

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

EE-382M VLSI II FLIP-FLOPS

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Single Edge Triggered Static D Flip-Flops: Performance Comparison

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Load-Sensitive Flip-Flop Characterization

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states.

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

ELEN Electronique numérique

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Design of Fault Coverage Test Pattern Generator Using LFSR

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

D Latch (Transparent Latch)

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Design of Shift Register Using Pulse Triggered Flip Flop

Chapter 5: Synchronous Sequential Logic

Retiming Sequential Circuits for Low Power

Digital System Clocking: High-Performance and Low-Power Aspects

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

A Low-Power CMOS Flip-Flop for High Performance Processors

Figure.1 Clock signal II. SYSTEM ANALYSIS

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Dual Slope ADC Design from Power, Speed and Area Perspectives

Design of an Efficient Low Power Multi Modulus Prescaler

Sequential Logic. References:

ECE321 Electronics I

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

EITF35: Introduction to Structured VLSI Design

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Transcription:

Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in binary hardware, analyze mathematically and are used to detect errors due to noise in the transmission channel. The message is treated as a polynomial in GF(2). For example, the message 1111001 is represented as a polynomial as x6+x5+x4+x3+1. Specification of a CRC code also requires a pre-defined generator polynomial. The sender and receiver agree on a certain fixed polynomial. The remainder is calculated as by dividing the GF(2) polynomial by the generator polynomial to generate a reliable check sum. Division of polynomials over GF(2) can be done in much the same way as long division of polynomials over the integers. This can be implemented using an exclusive OR gate. At the receiver, the integrity of the data is checked similarly. The data is said to be valid if the check sum at the receiver yields all zeros. Design progress The design component of the project involved implementing a cyclic redundancy check circuit using Linear Feedback Shift Registers (LFSR). We use a generator polynomial G(x)=x6+x5+x4+x3+1. The CRC-6 is a method of performance monitoring that is contained within the F-bit position of frames 2, 6, 10, 14 18 and 22 of every multiframe in Synchronous Frame structures used at 1544, 6312, 2048, 8448 AND 44 736 kbit/s Hierarchical levels. We implemented three different transistor topologies for a positive edge triggered D flip flop for power reduction in the overall CRC circuit. The transmission gate master-slave flip flop, TSPC D-flip flop and a novel TSPC flip flop proposed in [1]. We worked on effective sizing strategies of these topologies for power reduction and reduced glitching. Table 1 shows the power drawn by the circuit for different sizing of the transistors in the TSPC D-flip flop topology.

Table 1: Average power consumption Size Power (uw) Reasoning Initial Wp/Wn=2 21.7 Greater power consumption as optimal sizing strategy was not used Optimal sizing 4.6 With proper sizing, the TSPC flip flop topology showed considerable power decrease. Optimal sizing (x1/4) 10.6 Validates that the power drawn Optimal sizing (x1/2) 5.56 by the circuit is a proportional to Optimal sizing (x2) 6.2 Optimal sizing (x4) 7.2 the area of the circuit. convex function. It is a Figure 1: Power consumption as a convex function of transistor scaling (TSPC topology)

Challenges faced during simulation of flip-flop topologies Three different flip flop topologies are simulated and their individual total power measured. We found that the power drawn from the source for flip flop function was higher than the expected value by a factor of about 4. The issue with the topologies were the sizing of the transistors implemented in the circuits. The overall power consumed by the CRC circuit (implemented using these individual topologies) was also in the order of mws (for dynamic TSPC topology for glitch reduction) and in higher uws (for master-slave flip flop configuration). After adequate research and literature reading we identified the issue and realized that logical effort cannot be used to size the TSPC flip flops as it is not CMOS. After adequate sizing based on the TSPC flip flop functionality, we observed power reduction by a factor of about 4. Also, the over all output of the CRC shows reduced glitching as compared to high glitching activity of the circuit sized previously with a ratio of Wp/Wn=2. Figure 2 and shows the reduced glitching activity for CRC implemented using TSPC topology. Secondly, when we examined the leakage power of the overall CRC circuit implemented using TSPC D flip flops, we observed leakage in the order of high uw. We looked into the circuit and isolated the issue by debugging the overall system to see if there were any possible floating voltages in the output node. We found voltage drop at the output node and implemented a balloon latch to mitigate the issue. Figure 3 shows the drop in voltage and the mitigated dissipation after a balloon latch was implemented. Further, the leakage power of the overall circuit was 3.8uW for optimal sizing.

Figure 2: TSPC D Flip flop; effect of transistor size optimization

Figure 3: Effect of balloon latch; examining leakage power of the circuit. Figure 4 shows the circuit schematic of the TSPC flip flop implemented using SPICE. Questions/Obstacles/Issues During the implementation of the proposed TSPC topology in the CRC circuit, we noticed increased power consumption (in the order of mws). Sizing provided in [1], according the the 0.25um technology did not help reduce power in the SPICE simulation. Going forward we plan on examining a different sizing strategy and also aim to isolate floating nodes (if any) to identify the issue. Although the topology functions effectively as a flip flop (as shown in Figure 5 and 6), its overall functionality with the CRC circuit will be re-examined. Further, we plan on calculating the power consumed by the circuit vs. scale (area) and perform analysis similar to TSPC D- flip flop implementation. Remaining Tasks Re-examine the proposed [1] TSPC flip flop topology for optimal sizing and reduced power consumption. Examine the leakage power of the overall CRC circuit implemented using the proposed topology.

Power consumption vs. scale (area) curve generation for the same. Examine both these topologies based on the Pareto curve (energy vs. delay) Paper summaries Markovic D., Nikolic B., Brodersen R.W., Analysis and design of low-energy flip-flops, Proceeding of International Symposium on Low Power Electronics and Design, 2001, 6-7 Aug. 2001, Pages: 52-55 The most important step in the clock subsystem design is the optimization of register elements, which involves the selection of energy-efficient flip-flop topologies. At the same time, energy consumed by the clock distribution network is reduced when register elements are able to relax the clock distribution constraints. The most commonly used flip-flop design techniques are conventional masterslave latch-pairs and pulse-triggered latches. Other low-energy designs often derived from the conventional techniques, use double-edge-triggering, reduced swing clock, or internal clock gating. Characterization metrics, relevant to low-energy systems are discussed, providing insight into timing and energy parameters at both the circuit and system levels. Transistor sizes are optimized for minimal delay under constrained energy consumption. This methodology is applied to characterization of various flip-flop styles and their comparison in 0.25µm CMOS technology under scaled supply voltages. The optimal flip-flop topology and size is dependent upon the particular operating condition. However, among the presented flip-flops, the transmission-gate flip-flop with input gate isolation is the best overall choice for low energy digital design due to its good energy-delay trade-off, large race margin, sufficient noise robustness, and small energy required to drive data and clock inputs. Internal clock gating is effective for low input switching probabilities, added to the transmission-gate flip-flop with input gate isolation. U. Ko and P. Balsara, High-performance energy-efficient D-flip-flop circuits, IEEE Trans. VLSI Syst., vol. 8, pp. 94 98, Feb. 2000.

D-flip-flops (DFF s) are one of the major functions in finite-state machines (FSM), which in turn form a critical part of control logic. Enhancing DFF speed can either lead to a higher clock rate or allow more logic depths between two pipeline registers. In this paper, the authors compare the area, speed, and power dissipation of existing DFF implementations with a conventional low-risk DFF, a low-area DFF, and a low-power DFF. They also propose two energy-efficient DFF designs: a push pull DFF for performance and, a push pull isolation DFF (PPI-DFF) for performance and energy efficiency. These are then compared with the existing designs and demonstrated to be better for high-performance, energyefficient applications. Though the low-area DFF uses up to 33% fewer transistors, the internal voltage contention consumes up to 122% more energy than the rest of DFF s. Compared to a conventional DFF, a low-power and a push pull DFF improve power dissipation by 1% and delay by 31%, respectively, but end up with comparable energy efficiency. The proposed PPI-DFF improves speed by 56% at the expense of only 6% more power, when compared to a conventional DFF. Energy efficiency of this PPI-DFF is 45 122% better than that of the other DFF s. Compared to the existing low-power DFF, the PPI-DFF uses 47% less energy. This may result in a 30 40% reduction in the overall energy consumption of control logic. On the issue of metastability, the lack of feedback transistors in both low-area and push pull DFF s causes them to have a metastability window one to two orders of magnitude larger than the other three DFF s. In the applications where synchronizing circuits are required, the inclusion of both nmosfet and pmosfet devices in the feedback path of a DFF can minimize the metastability window and the resolution time constant, hence reducing their susceptibility to failure. M. Alioto, E. Consoli, G. Palumbo, Physical Design Aware Comparison of Flip-Flops for High-Speed Energy-Efficient VLSI Circuits, in print on Proc. of PATMOS 2010, Grenoble (France), Sept. 2010. Various high-speed FFs have been proposed in the past, mainly belonging to the Pulsed and Differential classes. Usually, they are featured by a transparency window, leading to clock-uncertainties

absorption properties but also to reduced race immunity. However, both setup and hold time values can be arranged regardless of the FF delay value, since they depend on the sizing of gates that do not belong to the FF critical path. Therefore, the real figure of merit concerning the timing of such FFs is the minimum data-to-output delay, measuring the impact of FF speed on the clock cycle. Given the presence of precharged nodes and the high switching activity in the pulse generator stages, high-speed FFs are distinguished by an high dissipation (e.g., compared to low-energy FFs, such as Master-Slave ones). Therefore, given that CMOS technology has entered a power-limited regime, identifying the most energy-efficient high-speed FFs is nowadays a decisive issue. In this paper, the ranking of the most representative high-speed FFs in a 65-nm CMOS technology is reconsidered by including the the increasing impact of layout parasitics associated with local interconnects, in order to reach the very optimum FFs sizings corresponding to the energy efficient designs in the Energy-Delay space. As a general remark, simpler basic structures are rewarded in nanometer technologies because of the strong impact of layout parasitics. In particular, the Explicit Pulsed circuits, which employ a pulse generator providing an actually pulsed clock, and the Transmission Gate Pulsed Latch circuits, have been recognized as the best high-speed FF topologies in a very wide range of applications.