Timing Error Detection and Correction using EDC Flip-Flop for SOC Applications

Size: px
Start display at page:

Download "Timing Error Detection and Correction using EDC Flip-Flop for SOC Applications"

Transcription

1 Timing Error Detection and Correction using EDC Flip-Flop for SOC Applications Mahesh 1, Dr. Baswaraj Gadgay 2 and Zameer Ahamad B 3 1 PG Student Dept. of VLSI Design & Embedded Systems, VTU PG Centre, Kalaburagi. 2 Research Guide and Professor VTU PG Centre, Kalaburagi 3 Assistant Professor Dept. of VLSI Design & Embedded Systems VTU PG Centre, Kalaburagi. Abstract Timing mistakes are an expanding unwavering quality worry in nanometer innovation, increased unpredictability and multi voltage or recurrence ICs. A neighborhood rectification approach and error discovery is presented in this work that is dependent on another piece flipping flip-flop. Whenever, a timing mistake is encountered, it is adjusted by supplementing the yield of the related flip-flop. The hypothesized scheme is portrayed by power necessities and a minimal silicon area contrasted with past outline plans in the open writing. To approve its effectiveness, it has been connected in the outline of a SoC application, while a show adaptation of the same core in a FPGA stage is displayed. Keywords Timing Errors, Error Detection and Correction, System on Chip (SoC), EDAC unit, UART, FPGA. I. INTRODUCTION The timing error is a type of error which causes because of unnecessary delays in the execution of the program. The timing error causes because of many reasons it cause because of scaling in CMOS technology, increase of process variations, due to power supply minimization and due to increasing complexity of modern ICs, these all alter the consistency and fix the errors in the circuit [1][2]. The timing error furthermore causes from different modules like power supply dissemination, coupling noise, jitter and the temperature variations are held responsible for timing mistake era. Transistor maturing modules are likewise influenced on the execution of nanometer circuits that are subsequent in the presence of timing mistakes in the circuit. Timing disappointments in a combinational logic block causes due to the delayed responses. This delayed responses causes due to the wake of activating edge of the clock, the output of combinational block is not obtained because of delayed response of previous block; this causes the timing error in the combinational block. There are many number of error detection techniques used for an error detection and correction. Various error detection techniques have been proposed. The error discovery and correction procedures are separated into 2 sections those in view of flip-flop and the latch based methods. An understood error detection plan depends on utilization of a comparator that is implemented by a basic XOR gate. The hardware comprises of extra memory component in addition to a XOR gate for each memory component (flip-flop or fundamental latch) in the configuration. The auxiliary memory module is timed by an overdue form of the system clock that inputs the primary memory module. The proposed arrangement maintains a strategic distance from additional clock skew impacts and significantly decreases the silicon cost, area and utilization of power giving the identical timing mistake recognition adjustment productivity as in the prior methodologies. Another point of interest of the EDC flip - flop is that the 2 nd XOR gate is not fixed by the info part of the main flip- flop, with the goal that doesn't automatically acquaint an extra postpone with the time basic way under observing, as it is the situation for the extra multiplexer in the Razor flip- All rights Reserved 165

2 In this study, the proposed approach is scrutinized exhaustively and the metastability concept that influences its working is viewed as and an appropriate schema is presented. Furthermore, to corroborate its ability, it has been linked in the context of SoC applications, while presenting the adaptation of the same core in a FPGA stage is demonstrated. II. LITERATURE ANALYSIS Timing mistake recognition and redress procedures is basically partitioned into 2broad classes, they are flip-flop dependent techniques and latch based approaches focusing on the based outlines. In the principal classification, the Razor procedure is the most noticeable one [3]. A. Razor Technique The razor strategy is flip-flop based outline, stage registers are implemented by utilizing the Razor flip- flops. A razor flip- flop comprises of the main scheme flip- flop in conjunction to a MUX, right hand shadow latch along with an XOR gate. The shadow latch catches, with a delay as the fundamental flip- flop, the reactions of the combinational logic. The XOR gate functions like a comparator governs the yields of shadow latch and the primary flip- flop after this time period. If there should arise an occurrence of error discovery, the mistake correction tool is actuated, that diverts the contribution of the main flip- flop to get right info of the shadow latch and provide the following logic phase during the succeeding recovery, clock cycle. B. Time Borrowing and Error Relaying(TIMBER) The TIMBER procedure is likewise a flip-flop based outline. TIMBER [4] is basically a timing error flexibility strategy which masks timing mistakes through acquisition of time from progressive pipeline phases. It deploys an additional latch for each principle framework flip-flop to retest the information with appropriate delay. In this TIMBER proposes a method for web timing mistake resilience that covers timing mistakes by acquiring time from progressive pipeline stages. TIMBER can likewise handle timing mistakes because of moderate changing worldwide varieties that may bring about multi-stage timing mistakes. C. Double Sampling Scheme Alternative double sampling scheme for soft-error versatility is presented in [5] that could be effectively changed to handle timing mistake resistance. It utilizes an additional flip-flop for each framework flip-flop for mistake rectification so that its silicon range cost is restrictive in like manner scenarios. D. Global Reliability Architecture Approach for Logic (GRAAL) In the 2 nd class of latch oriented timing mistake recognition and revision systems, the Graal [6][7] design, which is dependent on XOR comparator for error identification and extra flip-flop per latch is utilized in case of error remedy. E. Razor- II Topology Recently the Razor-II protocol is proposed as in [8] that utilize move identifier for error discovery during the process of mistake correction is carried out via architectural reiteration. Rather than performing both mistake location and amendment in flip-flop, Razor-II implements just recognition in flip-flop, during the revision is carried out via architectural reiteration. This basically permits remarkable lessening among the unpredictability and magnitude of Razor flip-flop, despite the fact that at expense of the expanded IPC punishment while recovery. System replay is a traditional system that frequently as of now exists in high performance microprocessors to support approximate operation, for example, out-of-request execution and branch prediction. III. THE PROPOSED METHOD The proposed EDC flip-flop is depicted in Fig. 1(a) and Fig. 1(b) demonstrates the pulse generator used to produce the clock pulse. This proposed mistake location and redress depends All rights Reserved 166

3 the bit-flipping idea. In the event of mistake discovery in the yield of the flip flop, comparing logical value is asynchronously complemented for error correction. Fig.1 a) Proposed-EDC-FF b) Pulse-generator The Fig. 1(a) demonstrates the new Error Detection and Correction flip- flop (EDC FF) which is reasonable to timing errors. The EDC FF comprises of main flip-flop, aside from the main flip flop, it comprises of 2 XOR gate and latch. The 1 st XOR gate relates the D with F o/p of fundamental flip-flop and result gives to the latch. The latch catches yield of 1 st XOR gate and holds it. The latch bolsters the 2 nd XOR gate at the yield of fundamental flip-flop. Dependent upon the comparative result of the principal XOR gate inside a predefined time interim, either F sign of principle flip-flop or the complement is basically spread to yield Q of EDC flip-flop. The Q signal feed to following resulting logical phase. At first, the yield of the latch Error_F is made 0, since, the course of o/p of the major flip-flop F passed to the o/p of the 2 nd XOR gate and then transforms to the succeeding consequent logical phase. In the errorless scenario, the comparative results are low at the o/p of the main XOR gate on activating the clock-signal (CLK). Theo/p of the primary XOR gate is caught by the latch, in this manner the Q o/p signal gets indistinguishable to the signal F of the fundamental flip flop that conveys exact information. This signal passes the data to the succeeding logical phases S j+1. Nevertheless, during the timing fault in the logical phase S j, a signal arrived lately with the aid of the fundamental flip-flop in the activating side of the clock signal. For this situation timing mistake occurring on the o/p of principle flip-flop and incorrect information is given to succeeding resulting logic phase, S j+1. Furthermore, yield of the principle flip-flop is differs from the information signal worth D, so that first XOR gate identifies the distinction and raises its o/p. Then a latch catches this quality and takes the response and after that 2 nd XOR gate is compared with the latch o/p and the o/p of the fundamental flip-flop along with its o/p is Q, which is basically the complement the signal F, and this Q is passed to the succeeding resulting logic stage S j+1, along these lines EDC FF conveys the right esteem. Importantly, the mistake is privately rectified. A. EDC FLIP-FLOP WITH METASTABILITY DETECTOR Fig. 2 a) EDC-flip- flop with Meta - stability detector b) Meta - stability All rights Reserved 167

4 In nearness of timing faults there is a conceivable that the right however postponed information at D of the primary flip-flop and the signal clock makes the so called "simultaneous moves". This thus may prompt the hold or the set up time infringements in the primary flip-flop. Accordingly the flip-flop would go into a Meta - stable state, wherein the o/p stays amidst the voltage level, nearing to VDD/2. Therefore, voltage levels could be treated as logic low or high with gates which are fed with the flip-flop's o/p or the gates additionally goes into a Meta - stable phase. During this scenario, the primary XOR entryway cannot be effective in rendering the comparative results during the information and o/p of principle flip-flop with a specific end goal to distinguish the relating timing mistake. To overcome from this issue, a Meta - stability sensor is utilized at o/p of the principle flip flop depicted in Fig. 2 (a). At whatever point a Meta - stable phase is watched and then o/p of primary flip-flop gets compelled with corresponding estimation of data at D. Thus primary XOR door identifies a mistake and enacts the error adjustment instrument keeping in mind the end goal to give to the consequent logic stage. At the point when flip-flop functions in Meta - stable phase, a little noise inherent in the available circuit, fits to drive its yield either too low or high based on the polarity associated with the noise. Hence, for such scenarios, the yield of the Meta - stability finder gets initiated and empowers an inverter bearing tri-state configuration that gets compelled with the information signal (D). Tristate inverter basically derives the o/p of the fundamental flip-flop by the quality which correlates this at information D. In this manner mistake is recognized by 1st XOR entryway and error rectification instrument gets initiated. Despite the fact that it is anything but difficult to revise the information at the yield of the primary flip-flop utilizing a tri-state cradle rather than tri-state inverter, this is kept away from in light since it isn t feasible to predict whether the accompanying logical phase has the necessary interval for a right estimation. A straightforward Meta - stability finder is depicted in Fig. 2(b) that is basically dependent on the couple of inverters that gets described with alternate voltage degrees. The main inverter is basically n-dominant and consists of voltage lesser than VDD/2 move voltage category; the2 nd inverter is p-dominant comprises of voltage bigger than VDD/2 move voltage. During the metastable condition of flip-flop where in the o/p near VDD/2, previous inverter gives logically lower reactions. Therefore, the input of NAND gates is increased and the o/p empowers swings too low in order to empower the tri-state inverter. During ordinary conditions, o/p of NAND is constantly in an increased state and the tri-state inverter gets basically inactive. B. DESIGN OF SOC WITH EDAC Fig 3 Block diagram of SoC A typical block diagram of the SoC is depicted in Fig. 3.5, the SoC intended for recognizing and remedying the error that could occur in memory unit because of radiation in lower earth circle (LEO) and owing to the stuck-at shortcomings in the memory units of space stations [9]. The errorless information is passed to the foreordained processor utilizing serial correspondence convention. The amalgamation of error detection and correction (EDAC Unit), encoder, router and the universal asynchronous receiver and transmitter (UART) carries out the mistake recognition and remedy All rights Reserved 168

5 A block diagram of the SoC with EDAC square is depicted in Fig.3.5; the processors are set outside of the SoC. The data is sent from beginning of space station is considered as the contribution to the encoder. Among the space related applications, the computerized information put away in the low earth circle it experiences SEU's in memory chips, these are actually prompted by radiation. Bitflips brought about by SEU s are an understood issue in memory chips and due to this the mistake is presented in framework, consequently we can utilize an error detection and correction block in the SoC for error identifying and remedying. In case of secure transmission of data amongst the router, CPU and its neighborhood RAM, EDC flip- flop is connected during the error discovery and correction unit, hence, errors could be distinguished and revised and resultant yield will be devoid of error. In this way, the resultant errorfree data is passed to the processor, with the goal that it will handle the error less data and is furthermore gather every one of the information flags and deliver the resultant information yield. C. COMPARISION ANALYSISES In this proposed strategy, the flip-flop is supplanted with a new EDC flip-flop contrasted with Razor [3] and time dilation procedures [4], toward the end of the time basic way in a logic state. In Razor and Time Dilation each flip-flop is supplanted with relating error discovery and rectification flip-flop till the nearby clock gating procedures are utilized. Neighborhood clock gating is required to keep away from information irregularity as for error rectification, the information at registers i/p is assessed again in following cycles of the clock. The gating of clock strategies is not ideal because of related clock skew issues. Also, a rapid signal should be appropriated inside entire configuration for mistake recognition, which expands the outline unpredictability and expense. The proposed EDC flip-flop maintains a strategic distance from the additional clock skew impacts and significantly decreases the silicon area power and cost utilization rendering similar timing error identification redress effective like the ones in prior methodologies. An alternate benefit of EDC flip- flop is the 2 nd XOR gate is basically not set on information edge of main flip- flop, with the goal of not unwillingly acquainting an added delay with time basic way under-checking, since, it s situation in case of extra MUX in Razor flip- flop. Our proposed strategy needs extra clock signal for the functioning. As mentioned before, this signal could be privately produced, among every register wherein the timing error security is needed, by clock signal subsequent to the two signals contain similar period. The time dilation and razor methods additionally need additional CLK signal which gets deferred adaptation of clock signal, perhaps with changes in the duty cycles. Despite the fact that in these strategies the supplement of the clock signal can be utilized rather, this will extensively expand issues identified with the hold time issue (extra zone and power utilization prerequisites). Fig 4 The Comparison All rights Reserved 169

6 A.Simulation Results of EDC Flip-Flop International Journal of Modern Trends in Engineering and Research (IJMTER) IV. EXPERIMENTAL RESULTS Fig 5 Simulation Results of EDC flip-flop B.Simulation results of EDC flip- flop using meta - stability detector C. Simulation Results of SOC with EDAC Fig 6 Simulation Results of EDC flip-flop with MD Fig 7 Simulation Results of SOC with EDAC V. CONCLUSION A timing mistake resistance strategy is introduced for enhancing the unwavering quality in flip-flop based nano-meter innovation centers. This misuses another piece flipping flip- flop that gives capacity for the identification purpose, and adjusts numerous timing errors in a circuit, with a period discipline of a solitary clock cycle. Here, the proposed methodology is described with minimal silicon area prerequisites that come with decreased complexity in design and this reduced design complexity also reduces power consumption compared to that of earlier All rights Reserved 170

7 REFERANCES [1] J.W. McPherson, Reliability Challenges for 45nm and Beyond, ACM/IEEE Design Automation Conference, pp , [2] S. Mitra, N. Seifert, M. Zhang, Q. Shi and K. S. Kim, Robust System Design with Built-In Soft-Error Resilience, IEEE Computer, Volume 38, Number 2, pp , [3] T. Austin, D. Blaauw, T. Mudge and K. Flautner, Making Typical Silicon Matter with Razor, IEEE Computer, vol. 37, no. 3, pp , [4] M. Choudhury, V. Chandra, K. Mohanram and R. Aitken, TIMBER: Time Borrowing and Error Relaying for Online Timing Error Resilience, ACM/IEEE Design Automation and Test in Europe Conference, pp , [5] S. Mitra, N. Seifert, M. Zhang, Q. Shi and K. S. Kim, Robust System Design with Built-In Soft-Error Resilience, IEEE Computer, Volume 38, Number 2, pp , [6] M. Nicolaidis, GRAAL: A New Fault Tolerant Design Paradigm for Mitigating the Flaws of Deep Nanometric Technologies, IEEE International Test Conference, p. 4.3, [7] H. Yu, M. Nicolaidis, L. Anghel and N-E. Zergainoh, Efficient Fault Detection Architecture Design of Latch-based Low Power DSP/MCU Processor, IEEE European Test Symposium, pp , [8] S. Das, C. Tokunaga, S. Pant, W-H. Ma, S. Kalaiselvan, K. Lai, D.M. Bull and D.T. Blaauw, Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance, IEEE Journal of Solid-State Circuits, vol. 44, no. 1, pp , [9] journal/fpga implementation of architecture of SoC For space craft All rights Reserved 171

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Stefanos Valadimas Department of Informatics and Telecommunications National and Kapodistrian University

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 555-560 Research India Publications http://www.ripublication.com Design of Low Power and Area Efficient 64

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Timing Error Detection and Correction by Time Dilation

Timing Error Detection and Correction by Time Dilation Timing Error Detection and Correction by Time Dilation Andreas Floros, Yiorgos Tsiatouhas, Xrysovalantis Kavousianos To cite this version: Andreas Floros, Yiorgos Tsiatouhas, Xrysovalantis Kavousianos.

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Built-In Proactive Tuning System for Circuit Aging Resilience

Built-In Proactive Tuning System for Circuit Aging Resilience IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems Built-In Proactive Tuning System for Circuit Aging Resilience Nimay Shah 1, Rupak Samanta 1, Ming Zhang 2, Jiang Hu 1, Duncan

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor LETTER IEICE Electronics Express, Vol.14, No.8, 1 12 SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor Taotao Zhu 1, Xiaoyan Xiang 2a), Chen Chen 2, and

More information

AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS

AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS S. CHRISTO JAIN Assistant Professor, Dept. of Electronics and Communication, K S Institute Of Technology, Bangalore-62 E-mail: s.christojain@gmail.com

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops Design and Analysis of Metastable-Hardened and Soft-Error Tolerant High-Performance, Low-Power Flip-Flops David Li, David Rennie, Pierce Chuang, David Nairn, Manoj Sachdev Department of Electrical and

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC ARCHITA SRIVASTAVA Integrated B.tech(ECE) M.tech(VLSI) Scholar, Jayoti Vidyapeeth Women s University, Rajasthan, India, Email:

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

The NOR latch is similar to the NAND latch

The NOR latch is similar to the NAND latch 5-2 NOR Gate Latch The NOR latch is similar to the NAND latch except that the Q and Q outputs are reversed. The set and clear inputs are active high, that is, the output will change when the input is pulsed

More information

An Automated Design Approach of Dependable VLSI Using Improved Canary FF

An Automated Design Approach of Dependable VLSI Using Improved Canary FF An Automated Design Approach of Dependable VLSI Using Improved Canary FF Ken Yano 1 yano0828@fukuoka-u.ac.jp Takanori Hayashida thayashida@fukuoka-u.ac.jp Takahito Yoshiki td102017@cis.fukuoka-u.ac.jp

More information

32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY /$ IEEE

32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY /$ IEEE 32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY 2009 RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance Shidhartha Das, Member, IEEE, Carlos Tokunaga, Student Member,

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead LETTER IEICE Electronics Express, Vol.13, No.16, 1 11 EDSU: Error detection and sampling unified flip-flop with ultra-low overhead Ziyi Hao 1, Xiaoyan Xiang 2, Chen Chen 2a), Jianyi Meng 2, Yong Ding 1,

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Indira P. Dugganapally, Waleed K. Al-Assadi, Tejaswini Tammina and Scott Smith* Department of Electrical and Computer

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION Shohaib Aboobacker TU München 22 nd March 2011 Based on Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55)

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55) Previous Lecture Sequential Circuits Digital VLSI System Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture No 7 Sequential Circuit Design Slide

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 A low jitter clock and data recovery with a single edge sensing Bang-Bang PD Taek-Joon Ahn, Sang-Soon Im, Yong-Sung Ahn, and Jin-Ku Kang a) Department

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

CHAPTER 1 LATCHES & FLIP-FLOPS

CHAPTER 1 LATCHES & FLIP-FLOPS CHAPTER 1 LATCHES & FLIP-FLOPS 1 Outcome After learning this chapter, student should be able to; Recognize the difference between latches and flipflops Analyze the operation of the flip flop Draw the output

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Clock Gating Aware Low Power ALU Design and Implementation on FPGA Clock Gating Aware Low ALU Design and Implementation on FPGA Bishwajeet Pandey and Manisha Pattanaik Abstract This paper deals with the design and implementation of a Clock Gating Aware Low Arithmetic

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

1. What does the signal for a static-zero hazard look like?

1. What does the signal for a static-zero hazard look like? Sample Problems 1. What does the signal for a static-zero hazard look like? The signal will always be logic zero except when the hazard occurs which will cause it to temporarly go to logic one (i.e. glitch

More information

CSER: BISER-Based Concurrent Soft-Error Resilience

CSER: BISER-Based Concurrent Soft-Error Resilience 2 28th IEEE VL Test Symposium CR: BIR-Based Concurrent Soft-Error Resilience, 3 Laung-Terng Wang, 2 Nur A. Touba, Zhigang Jiang, Shianling Wu, 3 Jiun-Lang Huang, and 3 James Chien-Mo Li SynTest Technologies,

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction 1 Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction Matthew Fojtik, David Fick, Yejoong Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester mfojtik@umich.edu

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

Current Mode Double Edge Triggered Flip Flop with Enable

Current Mode Double Edge Triggered Flip Flop with Enable Current Mode Double Edge Triggered Flip Flop with Enable Remil Anita.D 1, Jayasanthi.M 2 PG Student, Department of ECE, Karpagam College of Engineering, Coimbatore, India 1 Associate Professor, Department

More information

Application Note. Serial Line Coding Converters AN-CM-264

Application Note. Serial Line Coding Converters AN-CM-264 Application Note AN-CM-264 Abstract Because of its efficiency, serial communication is common in many industries. Usually, standard protocols like UART, I2C or SPI are used for serial interfaces. However,

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information