Spectral Methods for Testing of Digital Circuits

Size: px
Start display at page:

Download "Spectral Methods for Testing of Digital Circuits"

Transcription

1 Spectral Methods for Testing of Digital Circuits Except where reference is made to the work of others, the work described in this dissertation is my own or was done in collaboration with my advisory committee. This dissertation does not include proprietary or classified information. Nitin Yogi Certificate of Approval: Victor P. Nelson Professor Electrical and Computer Engineering Vishwani D. Agrawal, Chair Professor Electrical and Computer Engineering Adit D. Singh Professor Electrical and Computer Engineering Charles E. Stroud Professor Electrical and Computer Engineering George T. Flowers Dean Graduate School

2 Spectral Methods for Testing of Digital Circuits Nitin Yogi A Dissertation Submitted to the Graduate Faculty of Auburn University in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy Auburn, Alabama August 10, 2009

3 Spectral Methods for Testing of Digital Circuits Nitin Yogi Permission is granted to Auburn University to make copies of this dissertation at its discretion, upon the request of individuals or institutions and at their expense. The author reserves all publication rights. Signature of Author Date of Graduation iii

4 Vita Nitin Yogi, son of Vasant Yogi and Vandana Yogi was born on December 15, 1980 in Mumbai, India. He graduated from Rizvi College of Engineering, affiliated with the Mumbai University, with a Bachelor of Engineering degree in Electronics. He joined Auburn University in Fall 2002 and pursued the Masters program in the Electrical and Computer Engineering Department under the supervision of Prof. Victor P. Nelson. During his Masters program he worked as a Graduate Research Assistant in the Department of Forestry at Auburn University and was actively involved in the development of an interactive database and matrix population modeling/simulation software package AvesModeler. He obtained his Master of Science degree in Fall He joined the doctoral program at Auburn University in Fall 2005 under the supervision of Prof. Vishwani D. Agrawal. During the period he worked as a Graduate Research Assistant in the Department of Rehabilitation and Special Education at Auburn University and was active in the development of an on-line data collection and report generation system PODS. As a Graduate Research Assistant in the Department of Electrical and Computer Engineering he received support in parts from the Wireless Engineering Research and Education Center (WEREC). During the summer of 2007, he held an internship at Intel Corporation, Folsom, CA, working in the area of verification of DDR memory protocols. In the summer of 2008, he interned with NXP Semiconductors, The Netherlands, and worked on static timing analysis and silicon timing data. iv

5 Dissertation Abstract Spectral Methods for Testing of Digital Circuits Nitin Yogi Doctor of Philosophy, August 10, 2009 (M.S., Auburn University, 2004) (B.E., Bombay University, 2002) 131 Typed Pages Directed by Vishwani D. Agrawal Due to increasing design complexities of digital circuits in recent years, a growing problem in Very Large Scale Integrated (VLSI) digital circuit testing is the exponential rise in the test generation complexity and an increasing need for high quality test vectors. For Built-In Self-Test (BIST) of digital circuit, the in-built pattern generator shows increased area overhead, as larger number and more specific patterns need to be generated. In this thesis we address these issues of digital circuit testing. We propose a novel test generation algorithm for sequential circuits using spectral methods. We generate test vectors for faults defined at Register-Transfer Level (RTL) and analyze them for spectral properties. New test vectors are generated using these properties to detect all faults of the circuit. Our proposed algorithm shows equal or improved test coverage and reduced test generation time as compared to a commercial sequential test generation tool, FlexTest, for various benchmark circuits. For an experimental processor PARWAN, FlexTest achieved a test coverage of 93.40% requiring 1403 test vectors in CPU seconds. The proposed spectral method achieved a coverage of 98.23% requiring 2327 vectors in 2442 CPU seconds. We also propose a Design-For-Testability (DFT) method at RTL which enables improved test coverage and reduced test generation time. v

6 We define N-model tests that target faults belonging to N specified fault models of choice. We propose a method for minimizing these tests using Integer Linear Programming (ILP) without reducing the individual fault model coverage. Stuck-at, transition, and pseudo stuck-at IDDQ faults are used as illustrations. The proposed method shows a noticeable reduction in test set size as compared to conventional minimization. For ISCAS 89 benchmark circuit s1488, the initial test set consisted of 557 test vectors (with 57 I DDQ vectors) (represented as 557(57)). Conventional single fault model minimization achieved 451(45) test vectors while our multiple fault model minimization achieved 175(39) test vectors. We also propose an ILP model to offer a trade-off between the total number of test vectors and the cost of test application (number of I DDQ vectors in our example). For s1488, depending on the cost of application, our method offers a choice anywhere from 175(39) to 187(33) test vectors. Since solving ILP problems has an exponential time complexity, we also propose a reduced complexity ILP approximation. We propose a method for designing a Test Pattern Generator (TPG) for BIST using spectral techniques, which replicates the efficacy of a given set of test patterns generated for a digital circuit. Spectral properties extracted from the test patterns are regenerated in hardware using a novel spectral TPG architecture. For combinational circuits, a test vector reshuffling algorithm is proposed to enhance the extraction of spectral properties. In six out of eight sequential benchmark circuits considered, our method achieved at least as much fault coverage as the ATPG vectors. For the circuit s38417, our proposed method detected faults as compared to faults detected by ATPG vectors. Our proposed BIST method detects equal or greater number of faults in six out of eight circuits than random, weighted random and an earlier published work. In case of combinational circuits, for circuit c7552, our method attained a test coverage of 99.82%, while random and weighted random attained 97.41% and 97.86% respectively for the same test vector length. We also show the benefits of reseeding of our proposed spectral TPG in terms of test compression on two combinational benchmark circuits. In the considered circuits, our proposed architecture provides a maximum test data compression exceeding 90%. vi

7 Acknowledgments I would like to start by thanking deeply my adviser Prof. Vishwani D. Agrawal without whom none of this would have been possible. He has been a constant source of support, encouragement, guidance and rational thinking throughout my doctoral program. It has been an immense pleasure to work under Prof. Agrawal for my Ph.D. degree. I would like to thank Prof. Victor P. Nelson, Prof. Adit D. Singh, and Prof. Charles E. Stroud for their valuable suggestions regarding my research work from time to time, for the various things I have learned from them including those from their classes and for being on my committee. I would like to thank Prof. Paul M. Swamidass for agreeing to be an outside reader for my doctoral dissertation and providing valuable comments and suggestions. I appreciate the support from the Wireless Engineering Research and Education Center (WEREC) and the encouragement I received from its director, Prof. Prathima Agrawal. I would also like to thank the professors at Auburn Univerisity with whom I took classes and learned immensely from them. I would also like to thank Mr. Les Simonton for his continued technical support and help. I would like to thank Dr. Ananta Majhi, Dr. Bram Kruseman and Dr. Stefan Eichenberger at NXP Semiconductors for their continued support, encouragement and guidance during my internship My journey in my doctoral program would not have been easy if it weren t for my friends and colleagues at Auburn University. I would like to thank my friends in my research group, Kalyana, Sachin, Sudheer, Ashfaq, Gefu, Jins, Khushboo, Fan, Wei, Kim and Manish for their suggestions, comments, support and camaraderie. I would also like to acknowledge and thank my friends with whom I spent my good times. Finally but importantly I would like to thank my family for their continued support. vii

8 Style manual or journal used Journal of Approximation Theory (together with the style known as aums ). Bibliograpy follows van Leunen s A Handbook for Scholars. Computer software used The document preparation package TEX (specifically L A TEX) together with the departmental style-file aums.sty. viii

9 Table of Contents List of Figures List of Tables xi xiii 1 Introduction Problem definition Contribution of this thesis Organization of the thesis Overview of Manufacturing Test Testing of integrated circuits Fault modeling Lower-level fault models Higher-level fault models Test generation and Design For Test (DFT) Fault simulation Built-In Self Test (BIST) Spectral Analysis Hadamard transform and Walsh functions Spectral analysis using Hadamard transform Information content and randomness Spectral analysis for test generation Test Vector Minimization Linear programming for test vector minimization ILP formulation for test minimization Built-In Self Test Prior work Test pattern generator Linear Feedback Shift Register (LFSR) Cellular Automata Register (CAR) Output response analyzer ix

10 6 Spectral RTL Test Generation Spectral RTL ATPG RTL spectral characterization Gate-level test generation Design-for-testability Implementation and results Results for ITC 99 and ISCAS 89 benchmark circuits Results for PARWAN processor Summary N-Model tests Overview The N-model tests Two-step ILP model First ILP - minimize vectors Second ILP - minimize I DDQ measurements Combined ILP model Hybrid LP-ILP method Results Summary Spectral Test Pattern Generation Hardware for BIST Proposed spectral BIST method Determination of spectral components and noise Spectral BIST implementation Reseeding of proposed test pattern generator Results Results for BIST mode without reseeding Results for BIST mode using reseeding Summary Conclusion and Future Work Conclusion Future Work Test data compression Spectral BIST for scan-inserted sequential circuits Bibliography 109 x

11 List of Figures 2.1 Behavior of stuck-at logic 0 fault at the output of a AND gate Behavior of a slow-to-fall transition delay fault at the output of a AND gate A short defect in a NAND gate causing abnormal I DDQ current between V DD and GND Walsh functions of order eight Graphical representation of Walsh Coefficients obtained in equation (3.7) General BIST architecture Generic N-bit internal feedback shift register Generic N-bit external feedback shift register Generic rule function for a flip-flop Rule 90 implementation of a CAR Rule 150 implementation of a CAR Spectral analysis of a test vector block Spectral coefficients for an arbitrary random signal Walsh spectral coefficients for input DataIn[5] signal of PARWAN processor Bit-steam generation by perturbing the spectra RTL-based DFT to improve observability of signals Test coverage of RTL ATPG (spectral vectors) for area optimized b11-a circuit PARWAN CPU [97] Test coverages for the original PARWAN circuit [97] xi

12 6.9 Test coverages for the PARWAN circuit with DFT Test coverages for the original PARWAN circuit [97] Test coverages for the PARWAN circuit with DFT Number of passing/failing chips for four different test types applied [101] Number of passing/failing chips for three different test types applied [87] Defect level in parts per million deduced from data in [87] Appending of extra vectors to balance the weighting of bit-streams to Spectral analysis of test vectors Determination of prominent spectral components Proposed spectral BIST architecture Walsh function generator of order 4 that generates 16 Walsh functions [156] Spectral component synthesizer that combines three spectral components Randomizer XOR gate that randomly flips 25% of bits Holder circuit implemented using a multiplexer and clock derived signals Reseeding of proposed spectral BIST TPG Scan-inserted sequential circuit xii

13 List of Tables 5.1 Example rule functions for CAR Circuit description Spectral characterization of circuits by RTL vectors Comparison of RTL ATPG and Sequential gate-level ATPG results Spectral characterization of processor circuit by RTL vectors for stuck-at faults Spectral RTL ATPG for stuck-at faults for processor circuits Spectral characterization of processor circuit by RTL vectors for transition delay faults Spectral RTL ATPG for transition delay faults for processor circuits Stuck-at fault coverage of transition fault vectors Test vectors for stuck-at, I DDQ and transition faults generated and minimized by FastScan Multiple fault model test optimization by ILP methods using two-step model Multiple fault model test optimization by ILP methods using combined model Multiple fault model test optimization by hybrid LP-ILP method using twostep model Multiple fault model test optimization by hybrid LP-ILP method using combined model Comparing solutions: hybrid LP-ILP lower bound, ILP optimum and hybrid LP-ILP Details of combinational circuits on which our proposed method was employed Details of implemented spectral BIST TPG xiii

14 8.3 Test coverage comparison of random, weighted random and proposed spectral BIST method for vectors Area overhead comparison of proposed spectral BIST and Pseudo-Random Pattern Generator (PRPG) FlexTest ATPG results Experimental results on fault detection by BIST patterns Comparison of fault coverage and number of vectors with FlexTest ATPG BIST area overhead in transistors Comparison of test data volume and test time for ATPG and different modes of operation of spectral BIST for c Comparison of test data volume and test time for ATPG and different modes of operation of spectral BIST for s15850 (combinational) Comparison of fault coverage and number of vectors with FlexTest ATPG xiv

15 Chapter 1 Introduction Recent advances in microelectronic fabrication of Complimentary Metal Oxide Semiconductor (CMOS) technology have enabled a substantial increase in the level of integration of transistors per unit area and facilitated the reduction in chip cost. However, with these improvements, the design complexities of circuits have proportionally increased creating challenges in several areas including manufacturing test [20, 78]. Manufacturing test ensures that a digital circuit fabricated in silicon functions as expected and according to the original design [14]. One main goal of testing is to identify all chips that do not function as expected due to defects. Manufacturing test faces several challenges due to increased design complexity. The problem of test generation for digital circuits is computationally intensive and has been theoretically and experimentally shown to be Non-Polynomially complete (NP-complete) [37, 43, 62]. Determining solutions to such problems in worst cases may require non-polynomial or exponential time with respect to the size of the problem. The test generation problem becomes more intricate for sequential circuits, as their internal memory states face the difficulty of not being easily controllable and observable. Hence, there is a need to reduce the test generation complexity. Furthermore, the generated tests should have high quality or should cover a large proportion of modeled faults. A high fault coverage is required for the tests so that the number of test escapes, or the number of bad chips that are incorrectly considered good, is kept as small as possible, ideally zero, which is one of the main goals of manufacturing test. The number of bad chips tested as good is normally expressed as the defect level [14]. It is measured in parts per million (ppm). While a zero defect level is hard to guarantee, improved quality tests can provide 500 ppm, 100 ppm or even lower defect levels. Also, 1

16 there is a need to reduce the test application time which affects the testing cost. Hence, the number of test vectors required to be applied to the circuit under test (CUT) to test it, also need to be kept to a minimum. For Built-In Self-Test (BIST) environments, where additional inserted hardware tests the CUT, similar challenges are faced. Moreover a test generator is required to be designed in hardware with minimum area overhead which can provide reliable high quality tests. 1.1 Problem definition The primary goals of this work have been: To develop an efficient test generation method for sequential circuits having reduced test generation complexity, high fault coverage and low test application time. To develop a minimization technique for tests which detect multiple fault models. To develop a BIST synthesis scheme for digital circuits which provides high fault coverage, has low area overhead and low test application time. 1.2 Contribution of this thesis In this dissertation, we propose a novel test generation algorithm for sequential circuits using spectral methods and Register-Transfer-Level (RTL) information. We utilize RTL-related information to retrieve important spectral properties which help in efficiently testing the Circuit-Under-Test (CUT). Use of RTL information simplifies and reduces the test generation complexity in terms of the problem size. The use of spectral information for test generation has been shown to provide advantages in terms of improved fault coverage of the generated test vectors [18, 19, 41, 72, 158]. Using the benefits of RTL and spectral information, our proposed test generation scheme shows improved fault coverage and reduced test generation time as compared to the commercial sequential test generation tool FlexTest [91] as demonstrated in the results for various benchmark circuits. We also 2

17 propose a Design-for-Testability (DFT) method at the RTL to alleviate some of the bottlenecks in the testability of the circuit which provides an enhancement in the fault coverage with a benefit in a slight reduction of test generation time and number of test vectors. We define N-model tests that target detection of faults belonging to N specified fault models of choice. We propose a method for minimizing these tests using Integer Linear Programming (ILP) without reducing the individual fault model coverage. Any test sequences, deterministic, random, functional, N-detect, etc., can be minimized for the given set of fault models. Stuck-at, transition, and pseudo stuck-at I DDQ faults are used as illustrations. The proposed method shows a noticeable reduction in test set size as compared to conventional single fault model minimization. We also propose a novel configurable minimization model which can provide the trade-off between the number of test vectors and the cost of application of various types of tests. Although solving ILP formulations provide optimal tests, their worst-case complexity is exponential. Hence we also propose a reduced complexity ILP formulation which provides approximate solutions with reduced computational times. We also propose a method for constructing a pattern generator for digital circuits in a Built-In Self-Test (BIST) environment using spectral properties. Given a set of test patterns generated for a digital circuit, the objective here is to regenerate the efficacy of those vectors in hardware for BIST using minimal area overhead and test vector length. We exhibit the implementation of our methodology for combinational and sequential benchmark circuits. For combinational circuits, a test vector reshuffling algorithm is proposed to enhance the spectral properties and facilitate their extraction. We compare our hardware implementation with an earlier published work for sequential circuits and also with pseudo-random and weighted random pattern generators for both combinational and sequential circuits. The proposed BIST pattern generator, while attaining the test coverage of the original test vectors, shows markedly improved test coverage for similar vector length and comparable area overhead as compared to other pattern generators. 3

18 1.3 Organization of the thesis The dissertation is organized as follows. In Chapter 2 we provide a brief overview of the area of manufacturing testing and reinforce the motivation of our work. Chapter 3 gives an introduction to spectral analysis which forms the foundation of our proposed methods. In Chapter 4, we discuss the concepts of test vector minimization using Integer Linear Programming (ILP), which is used later in our proposed spectral RTL test generation scheme. Chapter 5 gives an introduction to the theory of Built-In Self Test (BIST) and describes its main components. In Chapter 6, we propose our spectral RTL test generation scheme for sequential circuits and describe its results. A new type of test called as N-model test is introduced in Chapter 7 and we propose an ILP-based formulation to minimize the number of tests. In Chapter 8, we propose our method for constructing the spectral test pattern generator for BIST environments and discuss its results. We give the conclusions of this work and scope for future advancements in Chapter 9. 4

19 Chapter 2 Overview of Manufacturing Test In this chapter, we give a brief overview of the area of manufacturing test and describe its main concepts [14]. The fundamentals described in this chapter will be used in the following chapters to explain the new methods. 2.1 Testing of integrated circuits After a digital circuit has been designed, it is fabricated in the form of silicon chips. The fabrication process is not perfect and due to various reasons, the manufactured circuit in silicon may develop defects which may prevent its correct functioning [82]. A manufacturing test performs the crucial task of identifying those silicon chips that do not function as expected. It involves exercising the functionality of the Circuit Under Test (CUT) by applying appropriate test signals to its inputs and observing the responses. If the responses of the CUT match the expected responses, then the CUT is considered good else it is labeled as bad. Thus, the goal of testing is to correctly identify a good chip as good and a bad chip as bad. The testing process may not be perfect and it may label certain good chips as bad and vice versa. The proportion of good chips that are incorrectly labeled as bad by the testing process is termed as yield loss, while the portion of bad chips incorrectly labeled as good is referred to as test escapes. Test escapes are quantified as the defect level, measured as the average number of bad chips that are tested good (usually measured for per million chips tested). The yield loss results in economic loss due to throwing away a proportion of good chips. Test escapes, on the other hand, result in defective parts shipped to customers and, depending on the application, have moderate to serious consequences in 5

20 terms of system failures, economic damages, etc. The testing process thus needs to ensure that both of these proportions are kept to a minimum [14]. 2.2 Fault modeling As mentioned earlier, when digital circuits are fabricated in the form of silicon chips, due to various fabrication process aberrations, some of the chips develop defects which may prevent their correct functioning. It is the goal of manufacturing testing to determine whether a chip possesses any such fault-causing defects, in a given finite time allotted for testing. Faults at the physical level in chips cannot be tested and detected directly, as there could be numerous types that can occur and many of them are often complex in nature to analyze. Hence faults need to be modeled at a higher abstraction level in order that they can be analyzed and test signals generated to detect them [14, 82]. These models are generally referred to as fault models. Faults can be modeled at various abstraction levels starting with the lowest level like the transistor and gate level; and moving to higher levels like Register-Transfer-Level (RTL) and behavioral level. Based on these abstraction levels, the fault models can be roughly classified as Lower-level fault models and Higher-level fault models. We describe these types in further detail in the following sections Lower-level fault models The lower-level fault models include those defined at the transistor and the gate levels. At this abstraction level, the digital design is described as an interconnection of transistors and gates, and faults can be modeled as imperfections in their respective components. Some of the commonly used and popular fault models at the transistor and gate-level are stuck-at fault model, transition delay fault model and I DDQ fault model [15]. We shall be using these three fault models later in this thesis to evaluate our proposed methods and hence we shall describe them in a little more detail in the following subsections. Other types of 6

21 1 0 Input A Output Y Good 1 Circuit Input B AND gate Faulty 1 Circuit 0 Output Y stuck-at logic 0 Figure 2.1: Behavior of stuck-at logic 0 fault at the output of a AND gate. faults that have been defined at lower-levels of abstraction are bridging faults [116], wire stuck-open faults, parametric faults, etc [14]. Stuck-at fault model One of the most widely used fault models for gate-level digital circuits since the earlier developments of CMOS technology has been the stuck-at fault model. The faults are modeled on signal lines or interconnects between the gates. Using the stuck-at fault model, two types of faults can be modeled for any signal line in the gate-level digital circuit. The logic value of a considered faulty signal line could be permanently stuck-at logic 0 or stuck-at logic 1. Figure 2.1 shows the behavior of a stuck-at logic 0 on the output of the AND gate. The two inputs A and B of the AND gate are been driven with logic 1. The expected good circuit behavior of the output Y of the gate is logic 1. However, due to the presence of the stuck-at logic 0 fault, in the faulty circuit the output Y will have a logic 0. Since two types of stuck-at faults are defined for every signal line, for a gate-level circuit with n signal lines, there exist 2n stuck-at faults assuming only one fault can exist at a time. For the case, where multiple faults can exist, the number of faults is equal to 3 n 1. Stuck-at faults model some of the physical defects that could arise in silicon manufacturing like transistors permanently in ON or OFF state, shorting of signal lines to power supply lines (V DD : logic 1 or GND: logic 0 ), etc. 7

22 1 0 Input A Output Y 1 Good Circuit Input B AND gate Faulty 1 Circuit 0 Output Y slow-to-fall transition delay fault Figure 2.2: Behavior of a slow-to-fall transition delay fault at the output of a AND gate. Transition delay fault model With the advances in manufacturing technology and fabrication of designs which can run at increasingly faster clock frequencies, estimation and testing of timing of a circuit has gained importance. In order to model the delay defects in a digital circuit, the transition delay fault model was introduced [76]. Like the stuck-at fault model, the transition delay fault model models faults on signal lines or interconnects between the gates. As per the transition delay fault model, a faulty signal line can behave as a slow-to-rise signal or a slow-to-fall signal. For a slow-to-rise transition delay fault on a faulty signal line, the signal line behaves as a temporary stuck-at logic 0 for a time period which exceeds the maximum delay of the circuit or is generally taken to be one test cycle or clock period. A similar behavior is exhibited by a slow-to-fall transition delay fault. To detect a transition delay fault on a signal line, a two-vector pair is required to be applied to the inputs of the CUT. The first vector initializes the signal line under consideration to the required logic value. the second vector forces a transition on the signal line and propagates its effect to the primary outputs of the CUT. Figure 2.2 shows the behavior of a slow-to-fall transition delay fault on the output of a AND gate. The input A of the gate is driven with a logic 1 and the input B of the gate undergoes a high-to-low falling transition. Due to the falling transition on input B, the expected good circuit behavior of the output Y is a high-to-low falling 8

23 transition. However, due to the slow-to-fall transition delay fault on the faulty output Y of the AND gate, the output Y behaves as a temporary stuck-at logic 1 or the falling transition of the signal line Y is delayed. Like the stuck-at fault model, for a gate-level circuit with n signal lines, there exist 2n transition delay faults assuming only one fault can exist at a time. For the case, where multiple faults can exist, the number of faults is equal to 3 n 1. Transition delay faults model some of the physical defects that could arise in silicon manufacturing like gross delay defects in slow transistors, resistive shorting of signals to power lines, some cases of transistors permanently in OFF state, etc. I DDQ faults In a CMOS gate, when the inputs of the gate are stable and not switching, then the current flowing between V DD and GND is negligible, ideally equal to zero. This steady state or quiescent current is termed as I DDQ current. However, in the presence of certain defects, it is observed that this current can increase by an order of magnitude as compared to the defect-free case. This observation enables detection of certain defects by measuring this current. Figure 2.3 shows an example of a short defect in a transistor in a NAND gate which causes abnormal I DDQ current to flow between V DD and GND. The inputs A and B of the gate are driven with logic 1. In a good circuit without defects, the top two PMOS transistors will be in the OFF state and the bottom two NMOS transistors will be in the ON state. In the presence of a short defect in a PMOS transistor, it will behave as an ON transistor and cause aberrant I DDQ current. By measuring the magnitude of this current the short defect of the PMOS transistor can be detected. The I DDQ faults [15] model certain physical defects occurring in fabrication like shorts between signal lines, transistors permanently in ON state, etc Higher-level fault models At higher levels of abstraction, faults can be modeled at the Register-Transfer Level (RTL) [39, 114, 132] or the behavioral level [22, 40, 102, 136]. At the RTL, the digital 9

24 NAND cell V DD 1 0 Input A OFF PMOS PMOS Short defect Y 1 ON 0 1 NMOS 0 Input B NMOS ON I ddq GND Figure 2.3: A short defect in a NAND gate causing abnormal I DDQ current between V DD and GND. design is modeled as data transfers between registers and faults can be modeled in the registers and/or in the data transfers between the registers. At the behavioral level, the digital design is described in the form of an algorithm or functional description and faults can be modeled in the various operations that are defined and used in the description. One of the fault models that is defined at higher abstraction levels like the RTL and behavioral level is the RTL fault model [132]. Fault models at lower abstraction levels have higher correlation with the physical defects and hence are able to be characterized better as compared to fault models at higher levels of abstraction. However, fault models at higher abstraction levels are less complex and easier to analyze and utilize for test generation and test evaluation than those at lower abstraction levels. Hence, depending on the scenario, an appropriate fault model can be used. 10

25 2.3 Test generation and Design For Test (DFT) Test generation is the most important step in manufacturing testing in which, given a set of faults defined using a fault model, appropriate test signals, called test vectors, are generated, which when applied to the CUT are able to detect the presence of those faults. The program which generates these test vectors is called an Automatic Test Pattern Generator (ATPG). The problem of test generation for digital circuits is computationally intensive and has been shown theoretically to be Non-Polynomial(NP)-complete [43]. Determining solutions to NP-complete problems require non-polynomial or exponential time with respect to the size of the problem. However, verifying a given prospective solution to an NP-complete problem requires only polynomial time. Based on these characteristics, the test generation methods can be roughly classified as algorithmic methods and simulation-based methods. Algorithmic methods involve a series of well defined steps to be followed to obtain test vectors which detect a given set of faults. Since algorithmic methods take the approach of solving the test generation problem, they require non-polynomial or exponential time with respect to the number of signals in the circuit and the number of faults. Several algorithmic methods for test generation have been proposed in literature [21, 38, 49, 84] but require large computational times providing only limited fault coverage. On the other hand, simulation based methods rely on searching and simulating various test vectors based on some heuristics, which could be prospective tests to detect the given set of faults. Since simulation-based methods take the approach of verifying a prospective solution to the test generation problem, their time complexity can be much lower compared to algorithmic methods depending on the heuristics being used. Based on this concept several simulation-based methods have been proposed and developed over the years [2, 12, 80, 119, 120, 126]. The test generation problem can be differentiated into two types based on whether the Circuit Under Test (CUT) is memory-less (combinational) or possesses memory (sequential). In a memory-less or combinational circuit, all the inputs are controllable. Hence with respect 11

26 to test generation, the circuit can be easily subjected to any possible required input values. Sequential circuits on the other hand have memory states and testing of such a circuit not only depends on the values at the inputs, but also the values of the memory states. Most of the time, the memory states cannot be controlled and observed with ease, which makes the problem of test generation for sequential circuits more complex. As a means to increase the testability of the circuits and also to reduce the Automatic Test Pattern Generation (ATPG) complexity, Design-For-Test (DFT) methods are employed. Two main parameters that determine the testability of a circuit are the controllability and observability of its signals. Controllability of a signal refers to its ability or ease to be set to a particular logic value from the primary inputs of the circuit. Observability of a signal refers to its ability or ease to be observed at one of the primary outputs of the circuit. Design-for-test (DFT) method refers to the design method of improving the controllability and observability of the signals of the given digital circuit so that the overall testability of the circuit is enhanced and tests with high fault coverage can be derived in reduced time complexity. Several DFT schemes are employed in practice. The most popular DFT technique, widely used, is the scan chain, in which a serial shift register is formed by connecting together all the flip-flops in the sequential circuit. Any flip-flop can then be initialized to any required value by shifting in the appropriate bits. Also the value captured in any flipflop can be observed by shifting out the bits in the scan chain. Scan-based DFT simplifies the test generation of sequential circuits to combinational test generation. However, there are downsides to using a scan chain. There is an area overhead and performance penalty associated with it, which may not be acceptable for all designs. Also, there are some issues with the generation and application of at-speed scan tests, which detect delay faults. Launch-On-Shift (LOS) and Launch-On-Capture (LOC) are two methods used for at-speed scan testing. Each method has its pros and cons. LOS has good transition delay fault coverage, but requires additional hardware for a fast scan enable signal. LOC requires 12

27 no special scan hardware but it achieves lower coverage. Since scan test vectors are nonfunctional tests, the problem of false paths and multi-cycle paths needs to be considered in the generation of at-speed scan tests, as the tests can cause unacceptable yield loss by failing functionally good circuits. This requires analysis of paths using static and dynamic timing analysis tools. Since to apply a test vector, all of the flip-flops need to be scanned, the number of clock cycles for testing and hence the testing time can grow very rapidly. For example if 100 test vectors need to applied to a sequential circuit consisting of 100 flip-flops, approximately 10,000 clock cycles will be required. Although non-scan test generation has the disadvantage of high test generation complexity, it possesses certain advantages which make it an attractive approach. The disadvantages exhibited by scan-chain based test generation, like area and delay overheads and long testing times, are eliminated in this case. With sequential test generation, at-speed functional tests can be generated as they do not modify the state machine of the circuit. Hence the chances of yield loss are minimized. Different works [86, 90, 99] have attempted to show the effectiveness of at-speed functional tests over structural scan tests in detecting chip faults and hence having a better defect coverage. Better defect coverage translates to lower test escapes. Thus in our work we shall concentrate on issues and propose methods for non-scan digital circuit test generation. 2.4 Fault simulation Fault simulation is an important part of manufacturing testing, which determines the faults detected of a given fault model by a given set of test vectors on a CUT. In fault simulation, the test vectors are simulated on the CUT in the presence of one fault at a time and the response of the CUT to the test vectors is compared with the expected correct responses. If the simulated responses differ from the expected correct responses, then the fault being simulated is considered to be detected. The process is repeated for all the faults. Along with evaluating the effectiveness of the test vectors, fault simulation also forms an integral part of the ATPG program. For a digital circuit with n signal lines, the 13

28 complexity of fault simulation is O(n 2 ). By comparing the time complexity for solving the test generation problem with that of fault simulation, we can deduce that fault simulation based test generation methods can provide lower time complexity, as was also suggested in Section 2.3. Hence our proposed method described in this thesis will take advantage of fault simulation in test generation. 2.5 Built-In Self Test (BIST) Built-In Self Test (BIST) is a special case of Design-For-Test (DFT) methodology in which the circuit tests itself and flags whether it is good or bad [3, 4, 89, 94, 127]. Additional hardware is inserted to generate test vectors which drive the primary inputs of the circuit, sample its primary output(s) and determine whether the circuit is good or bad by comparing the sampled output(s) with expected one(s). The use of BIST has several advantages. The need for expensive Automatic Test Equipment (ATE) is eliminated. BIST supports atspeed testing. Testing can be performed during operation as well as maintenance. BIST provides vertical testing from component level to system level. For BIST environments there are mainly two methods used for testing; scan-based testing and non-scan based testing. Scan-based BIST utilizes the DFT structure scan chain, which was described earlier in Section 2.3, to apply the test vectors and observe the responses. Non-scan based BIST makes use only of the inputs and outputs of the CUT to test it. Scan-based BIST and non-scan based BIST have similar advantages and disadvantages as scan-chain based test generation and non-scan circuit test generation, respectively, as described earlier in Section 2.3. For non-scan based BIST, especially for sequential circuits, there is an additional challenge to detect random pattern resistant faults by generating specific sequences of test vectors in hardware, which can be intricate. As we mentioned earlier, in our work we shall concentrate on issues of non-scan based digital circuit testing. BIST methodology will be described in more details in Chapter 5 as an introduction to our proposed work. 14

29 Chapter 3 Spectral Analysis For several years, research has being conducted on the nature and characteristics of test vectors, which will serve as good quality tests. Initially, experiments were performed using random vectors and were found to give good results [80, 143]. Later a class of random pattern resistant circuits were discovered [29], which made it difficult to use random vectors. Research then shifted towards weighted probability-based random [13, 50, 119, 143, 142] and other types of property-based test generation methods [48]. Some of these methods did work, but not satisfactorily for all circuits. The idea of analyzing the periodicities in signals for test generation introduced the field of spectral testing. The basic idea was to look at the periodicities of the test vectors which provided high fault coverage by analyzing their information content in the frequency or the spectral domain. Several published books and articles [9, 30, 61, 134] provide introduction, general properties and applications of spectral transforms for digital signals. It is believed that good quality test vectors, which give high fault coverage, exhibit certain discernible frequency or spectrum related characteristics. By preserving these characteristics good quality high defect coverage test vectors can be generated. Spectral methods for test generation have a long history since the development of complex VLSI circuits. In 1983, Susskind [128] showed that Walsh spectrum can be used for testing a digital circuit. Logic networks were tested for stuck faults by verifying the Walsh coefficients at the outputs. Hsiao and Seth [59] further expanded that work to compact testing where the signature formed by compaction of the output responses is chosen to be a coefficient from the Rademacher-Walsh (RW) spectrum of the function under test. More recently, Giani et al. [41, 42] reported spectral techniques for sequential ATPG and built-in self-test. In [41] a spectral test generation scheme for sequential circuits is proposed, where 15

30 in, starting from pseudo-random vectors the generation of new test vectors is guided by the spectral components of previously beneficial generated vectors. In [42], a spectral-based BIST scheme was proposed, in which test vectors were generated from stored prominent spectral components by executing a program on a processor. Hsiao s group at Virginia Tech have published further work on spectrum-based self test and core test [18, 19, 72]. Khan et al. [73, 74] have designed hardware output response compactors which use digital spectral analysis. Zhang et al. [158] further refined the method of extracting the spectra from a binary signal using a selfish gene algorithm. Recent work suggests that wavelet transforms can also be used for similar applications [25]. Due to the encouraging results published in earlier works, we shall use spectral methods in our work for addressing the issues of test generation. 3.1 Hadamard transform and Walsh functions Spectral analysis of a digital signal is a decomposition process, in which the signal is represented as a linear combination of a set of orthogonal functions. These orthogonal functions are defined by their corresponding transforms. Several transforms [137] have been developed over the years which can be used for digital signals. Hadamard transform and Haar transform are two examples of those. We use Hadamard transform [133, 137, 139] in our proposed works for spectral analysis because of their ease of use and also since they have been used for testing with effective results. The Hadamard transform decomposes a digital signal into a superposition of a set of orthogonal functions called Walsh functions. Walsh functions consist of trains of square pulses having +1s and 1s as the allowed states and can only change at fixed intervals of a unit time step. For an order n, there are N = 2 n Walsh functions, given by the rows of the 2 n 2 n Hadamard transform matrix H(n) [137], when the functions are arranged in the so-called natural order [133, 139]. 16

31 Hadamard transform matrix can be defined in two ways [46], using a binary (base-2) representation or recursively. Using a binary (base-2) representation, the element at the j th row and k th column of the Hadamard matrix is given by: h(j, k) = 1 n 1 N ( 1)f(j,k) where f(j, k) = b j [i]b k [i] (3.1) b j [i] and b k [i] are the i th binary bits of the corresponding binary numbers b j and b k respectively. b j and b k are the binary representions of the corresponding integer values j and k given by the following relations: i=0 j = b j [n 1]2 (n 1) + b j [n 2]2 (n 2) b j [1]2 1 + b j [0]2 0 (3.2) k = b k [n 1]2 (n 1) + b k [n 2]2 (n 2) b k [1]2 1 + b k [0]2 0 (3.3) Hadamard matrices can also be generated using the following recurrence relation: H(n 1) H(n 1) H(n) = (3.4) H(n 1) H(n 1) where H(0) = 1 and 2 n is the dimension of the nth order Hadamard matrix, H(n). For example, for n = 1 and n = 2, we have: H(1) = and H(2) = (3.5) The Hadamard matrix is a symmetric matrix with each row being a unique Walsh orthogonal function, also called the basis function bit-stream. Since it consists of only +1s and 1s, it is a good choice for the signals in VLSI testing (+1 = logic 1, 1 = logic 0). 17

32 Figure 3.1: Walsh functions of order eight. The Walsh functions include patterns with varying periodicities which are analogous to the sine and cosine functions in the analog domain. Hence, Walsh functions can be thought of as digital counterparts of analog frequencies. Figure 3.1 shows the schematic diagram of Walsh functions of order eight. Any digital bit-stream can be uniquely represented as a linear combination of the orthogonal Walsh functions. This is analogous to the analog domain where any continuous signal can be uniquely represented as a linear combination of the sine and the cosine functions. Thus, by analyzing the digital signals using Walsh functions, we are actually looking into the frequency or sequency characteristics of the digital waveforms. Frequencies refer to periodicities for analog signals, while sequencies refer to bit-flippings for digital binary waveforms [137]. 3.2 Spectral analysis using Hadamard transform Spectral analysis using Hadamard transform decomposes a digital signal or binary bitstream into a superposition of orthogonal Walsh functions which correspond to different periodicities or sequencies (as they are sometimes referred to in the digital domain). The 18

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction IJCSN International Journal of Computer Science and Network, Vol 2, Issue 1, 2013 97 Comparative Analysis of Stein s and Euclid s Algorithm with BIST for GCD Computations 1 Sachin D.Kohale, 2 Ratnaprabha

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

E-Learning Tools for Teaching Self-Test of Digital Electronics

E-Learning Tools for Teaching Self-Test of Digital Electronics E-Learning Tools for Teaching Self-Test of Digital Electronics A. Jutman 1, E. Gramatova 2, T. Pikula 2, R. Ubar 1 1 Tallinn University of Technology, Raja 15, 12618 Tallinn, Estonia 2 Institute of Informatics,

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating Power Optimization of Linear Feedback Shift Register (LFSR) using Rebecca Angela Fernandes 1, Niju Rajan 2 1Student, Dept. of E&C Engineering, N.M.A.M Institute of Technology, Karnataka, India 2Assistant

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo Diagnostic Test Generation for Path Delay Faults in a Scan Circuit by Zeshi Luo A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS Jiří Balcárek Informatics and Computer Science, 1-st class, full-time study Supervisor: Ing. Jan Schmidt, Ph.D.,

More information

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

How to Predict the Output of a Hardware Random Number Generator

How to Predict the Output of a Hardware Random Number Generator How to Predict the Output of a Hardware Random Number Generator Markus Dichtl Siemens AG, Corporate Technology Markus.Dichtl@siemens.com Abstract. A hardware random number generator was described at CHES

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Journal of ELECTRICAL ENGINEERING, VOL. 58, NO. 3, 2007, 121 127 DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Gregor Papa Tomasz Garbolino Franc Novak Andrzej H lawiczka

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Doctor of Philosophy

Doctor of Philosophy LOW POWER HIGH FAULT COVERAGE TEST TECHNIQUES FOR D IGITAL VLSI CIRCUITS By Abdallatif S. Abuissa A thesis submitted to The University of Birmingham for the Degree of Doctor of Philosophy School of Electronic,

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

New tests and test methodologies for scan cell internal faults

New tests and test methodologies for scan cell internal faults University of Iowa Iowa Research Online Theses and Dissertations Fall 2009 New tests and test methodologies for scan cell internal faults Fan Yang University of Iowa Copyright 2009 Fan Yang This dissertation

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedbac Shift Register G Dimitraopoulos, D Niolos and D Baalis Computer Engineering and Informatics Dept, University of Patras,

More information

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 67-74 Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR S.SRAVANTHI 1, C. HEMASUNDARA RAO 2 1 M.Tech Student of CMRIT,

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information