VHDL -standardni jezik za opis digitalnih kola i sistema.

Size: px
Start display at page:

Download "VHDL -standardni jezik za opis digitalnih kola i sistema."

Transcription

1 Uvd u VHDL

2 VHDL VHDL -standardni jezik za pis digitalnih kla i sistema. VHDL standard usvjen 987. gd. (IEEE 076). Revidiran i trenutn aktuelni VHDL standard, IEEE 64, usvjen 993. gdine. Skraćenica d VHSICHardware Descriptin Language. VHSIC skraćenica d Very High Speed Integrated Circuits (Integrisana kla vema velike brzine rada) Arhitektura mikrsistema

3 VHDL Osnvna namena: Jezik za dkumentaciju Jezik za simulaciju Savremena primena: Sinteza hardvera Naš cilj: Sinteza kmbinacinih i sekvencijalnih kla i sistema niske i srednje slžensti u VHDL-u Obiman i slžen jezik Dbra vest: za sintezu, bitan je sam jedan manji de mgućnsti VHDL jezika. Arhitektura mikrsistema

4 Prgramski jezik vs. jezik za pis hardvera Prgramski jezik: Opis algritma Sekvencijalni mdel izračunavanja Kmpajlira se u mašinski prgram radi izvršenja na računaru Jezik za pis hardvera: Opis algritma Opis strukture digitalng sistema Knkurentni mdel izračunavanja Kmpajlira se radi simulacije u RTL simulatru Sintetiše se radi implementacije u hardveru Termini: Prgram -pis algritma u prgramskm jeziku Kôd pis algritma ili strukture u jeziku za pis hardvera Arhitektura mikrsistema

5 Organizacija VHDL kôda Svaki celvit VHDL kôd, tj. naj kji se mže simulirati ili sintetizvati, sastji se iz tri sekcije: Arhitektura mikrsistema

6 LIBRARY LIBRARY (bibliteka) Klekcija čest krišćenih delva VHDL kôda. Jednm se piše više puta kristi Sadrži pakete (PACKAGE), a paketi sadrže: Funkcije (FUNCTION) Prcedure (PROCEDURE) Kmpnente (COMPONENT) Knstante (CONSTANT) Tipve pdataka (TYPE) Arhitektura mikrsistema

7 LIBRARY Bibliteka se uključuje u prjekat pmću dve naredbe: LIBRARY ime_bibliteke; USE ime_bibliteke.ime_paketa.delvi_paketa; LIBRARY -definiše ime bibliteke USE definiše delve bibliteke kje želim da kristim Bar tri paketa iz tri različite bibliteke su nephdna u svakm prjektu: std_lgic_64 (iz bibliteke ieee) standard (iz bibliteke std) i wrk (iz bibliteke wrk) LIBRARY ieee; USE ieee.std_lgic_64.all; LIBRARY std; USE std.sdandard.all; LIBRARY wrk; USE wrk.all; --tačka-zarez (;) značava --kraj naredbe ili deklaracije --dupla crta (--) značava kmentar Arhitektura mikrsistema

8 LIBRARY Standardne bibliteke: std je bibliteka resursa (standardni tipvi pdataka i sl.). wrk je prjektna bibliteka (za smeštanje fajlva prjekata) IEEE bibliteka za sintezu, paketi : std_lgic_64: definiše višenivvske lgičke sisteme numeric_std: pdrška za aritmetiku Bibliteke std i wrk p autmatizmu uključene u svaki prjekat. VHDL kôd za sintezu bičn pčinje linijama: LIBRARY ieee; USE ieee.std_lgic_64.all; Arhitektura mikrsistema

9 ENTITY Entitet (ENTITY): definiše interfejs (ulaze i izlaze, tj. prtve kla) ENTITY ime_entiteta IS PORT ( ime_prta : smer_signala tip_signala; ime_prta : smer_signala tip_signala;... ); END ime_entiteta; Prt (PORT): izlazni ili izlazni signal kla Smer signala IN ulaz, OUT izlaz, INOUT dvsmerni prt (ulaz/izlaz), BUFFER - izlaz kji se mže kristiti ka interni signal. Tip signala: BIT, STD_LOGIC, INTEGER... Arhitektura mikrsistema

10 ENTITY ENTITY ni_kl IS PORT (a, b : IN BIT; c : OUT BIT); END ni_kl; Opisuje spljni pgled na kl, a ne funkciju kla Više prtva istg smera i tipa mgu biti deklarisani u istj liniji Linije PORT knstrukcije završavaju se znakm ;, sim pslednje, nakn kje sledi zatvrena zagrada Ime entiteta mže da sadrži slva, cifre i crtu za pdvlačenje. Ograničenja: ne mže pčeti cifrm, ne mže biti ključna reč VHDL ne pravi razliku između velikih i malih slva Arhitektura mikrsistema

11 ARCHITECTURE Arhitektura (ARCHITECTURE) sadržati pis funkcinisanja (pnašanja) ili pis unutrašnje strukture kla ARCHITECTURE ime_arhitekture OF ime_entiteta IS [deklaracije] BEGIN [kôd] END ime_arhitekture; Uvek pridružena jednm entitetu (ime_entiteta) [deklaracije]: definiše interne signale i knstante [kôd]: kôd arhitekture Arhitektura mikrsistema

12 ARCHITECTURE ARCHITECTURE ni_funkcija OF ni_kl IS BEGIN c <= a NAND b; END ni_funkcija; Kl bavlja NI peraciju (NAND) nad dva ulazna signala, a i b, i rezultat ddeljuje ( <= ) izlaznm pinu c. Naredba ddele se izvršava uvek kad se na nekm d signala a ili b desi dgađaj. Dgađaj na signalu -prmene vrednsti signala. Naredba ddele je senzitivna na prmenu vrednsti bil kg signala s leve strane znaka <=. Arhitektura mikrsistema

13 Stilvi prjektvanja u VHDL-u Funkcinalni (ili bihejviralni) Knkurentne naredbe (dataflw, tj. mdel tka pdataka) Sekvencijalne naredbe (sekvencijalni mdel, ka kd prgramskih jezika) Strukturni (ekvivalentn blk dijagramu) Mešvit (kmbinacija knkurentnih/ sekvencijalnih naredbi i strukturng pisa) Arhitektura mikrsistema

14 Knkurentn izvršenje naredbi ENTITY primer IS PORT(a, b, c: IN BIT; y : OUT BIT); END primer; ARCHITECTURE dataflw OF primer IS SIGNAL x : BIT; BEGIN y <= x NAND c; x <= a NAND b; END dataflw; Arhitektura sadrži knkurentni kd Naredbe se iniciraju prmenama signala Redsled naredbi nije d značaja (isti efekat ima sledeći kd): x <= a NAND b; y <= x NAND c; Deklaracija interng signala Knkurentne naredbe Arhitektura mikrsistema

15 Mdeliranje prpagacing kašnjenja Naredba ddele prširena klauzulm after: x <= a NAND b after 20 ns; δ -kašnjenje: besknačn mal kašnjenje x <= a NAND b; ist št i x <= a NAND b after 0 ns; ENTITY primer IS PORT(a, b, c: IN BIT; y : OUT BIT); END primer; ARCHITECTURE dataflw OF primer IS SIGNAL x : BIT; BEGIN y <= x NAND c after 20 ns; x <= a NAND b after 20 ns; END dataflw; Navđenje prpagacing kašnjenja nije dzvljen u kdu za sintezu! 20 ns 20 ns Arhitektura mikrsistema

16 Prt smera OUT se ne mže kristiti ka ulazni signal! Neispravn LIBRARY ieee; 3 USE ieee.std-lgic-64.all; ENTITY mde-dem IS 6 PORT (a,b: IN STD_LOGIC; 7 x,y: OUT STD_LOGIC); 8 END mde-dem; ARHITECTURE pgresn OF mde-dem IS BEGIN 2 x <= a AND b; 3 y <= NOT x; 4 END pgresn; x je izlazni prt! (a) Arhitektura mikrsistema Ispravn ARHITECTURE ispravn OF mde-dem IS SIGNAL ab : STD_LOGIC; 2 BEGIN 3 ab <= a AND b; 4 x <= ab; 5 y <= NOT ab; 6 END ispravn; Interni signali se mgu kristiti i ka ulazi i ka izlazi

17 Opis tabele istinitsti Omgućava mdeliranje (pisivanje) funkcije ili pnašanja kla bez ulaženja u strukturne detalje a b I v je knkurentan kd c y ARCHITECTURE dataflw OF primer IS BEGIN WITH (a & b & c) SELECT y <= '' WHEN "000", '0' WHEN "00", '' WHEN "00", '0' WHEN "0", '' WHEN "00", '0' WHEN "0", '' WHEN "0", '' WHEN ""; END dataflw; Arhitektura mikrsistema

18 Sekvencijalne naredbe Prces: sekvencijalna sekcija kôda (naredbe u prcesu se izvršavaju jedna za drugm) LIBRARY ieee; USE ieee.std_lgic_64.all; ENTITY dff IS PORT (d, clk, rst: IN STD_LOGIC; q: OUT STD_LOGIC); END dff; ARCHITECTURE behavir OF dff IS BEGIN PROCESS (rst,clk) BEGIN IF(rst='') THEN q <= '0'; ELSIF (clk'event AND clk='') THEN q <= d; END IF; END PROCESS; END behavir; Desi se dgađaj na signalu lista senzitivnsti (prmena bil kg signala iz liste pkreće prces) Arhitektura mikrsistema d clk rst DFF Detektuje rastuću ivicu takta q

19 Mešvit pis- Knkurentni/sekvencijalni kd Arhitektura je kvir za knkurentni, a prces za sekvencijalni kd ARCHITECTURE primer OF primer IS SIGNAL x : BIT; BEGIN x <= d NAND d2; PROCESS(clk) BEGIN IF(clk'EVENT AND clk='') THEN q <= x; END IF; END PROCESS; END primer; Prces se izvršava knkurentn sa stalim naredbama iz arhitekture Arhitektura mikrsistema

20 Strukturni pis Opisuje slženije kl ka skup pvezanih kla manje slžensti Zasnvan na knceptu kmpnenti Kmpnenta: Celviti VHDL pisi (entitet plus arhitektura) kji se jednm piše, a nda kristi za knstrukciju slženijih mdela Pakuju se u pakete Arhitektura mikrsistema

21 Strukturni pis -primer Primer Micrsft Wrd Dcument Kmpnente Sistem se pisuje ka skup pvezanih kla manje slžensti. U suštini, tekstualni pis blk dijagrama Arhitektura mikrsistema

22 Mešvit pis - knkurentni/strukturni kd ARCHITECTURE struct OF pr9 IS -- kmpnenta dff COMPONENT dff IS PORT (d, clk: IN STD_LOGIC; q: OUT STD_LOGIC); END COMPONENT; SIGNAL x : STD_LOGIC; BEGIN x <= d NAND d2; K2: dff PORT MAP(x, clk, q); END struct; Naredba za instanciranje kmpnente Arhitektura mikrsistema Knkurentna naredba ddele

23 Prjektne jedinice Nedeljiva sekcija VHDL kôda U ptpunsti sadržana u jednj prjektnj datteci (prjektna datteka mže sadržati prizvljan brj prjektnih jedinica) T su: Entitet Primarna Arhitektura Deklaracija paketa Sekundarna Tel paketa Knfiguracija Jedna primarna, više sekundarnih Definiše spj primarne Arhitektura mikrsistema i sekundarne jedinice

24 Prcesiranje VHDL kda Faze u prcesiranju kda:. Analiza (psebn svaka prjektana jedinca) Sintaksna analiza i kmpilacija. Rezultat je međukd prjektinih jedinica kji se smešta u bibliteku wrk. 2. Elabracija 3. Izvršenje Hijerarhijski kd u ravanski kd. Zamena instanci kmpnenti njihvim arhitekturama Simulacija ili sinteza Arhitektura mikrsistema

25 Simulacija VHDL kda d clk DFF q rst stimulansi dziv Arhitektura mikrsistema

26 Testbenč Micrsft Wrd Dcument Ddatni kd kji generiše stimulanse Kd kji se testira Ddatni kd kji analizira dziv testbenč Arhitektura mikrsistema

27 CONFIGURATION Jedan entitet više arhitektura. Knfiguracija -spj entiteta i arhitekture Kak arhitekturu pvezati sa entitetm? Štampana plča Odgvara entitetu Kućište Analgija IC IC2 IC3 (a) (b) Arhitektura mikrsistema Odgvaraju arhitekturama

28 CONFIGURATION -- Instanciranje kla kje se testira uut: simple_circuit PORT MAP(a=>test_in(2),b=>test_in(),c=>test_in(0),y=>test_ut); Definiše arhitekturu kmpnenta simple_circuit kja se kristi CONFIGURATION dem_cnfig OF simple_circuit_testbench IS FOR tb_arch FOR uut : simple_circuit USE ENTITY wrk.simple_circuit(behavir); END FOR; END FOR; END dem_cnfig; Ak pstji sam jedna arhitektura, CONFIGURATION nije nephdn! Arhitektura mikrsistema

29 Sinteza VHDL kda Preslikavanje jezičkih knstrukcija iz VHDL kôda na hardverske elemente identičng pnašanja (funkcije) Nije mguće sintetizvati prizvljan VHDL pis! Pjedine jezičke knstrukcije se ne mgu sintetizvati. Pjedine se mgu sintetizvati uz dređena graničenja Pjedine se mgu sintetizvati Arhitektura mikrsistema

30 Primer sinteze. krak... f <= x AND y; f2 <= x AND NOT y; f <= f OR (f2 AND z) OR (f2 AND w); krak 3. krak Funkcinalna mreža Optimizvana funkcinalna mreža Arhitektura mikrsistema Lgička mreža - knačni rezultat sinteze

31 Slženiji primer sinteze Aktivnsti prjektanta Aktivnsti sftvera za sintezu Knceptualni dijagram Funkcinalna mreža... f <= a + b WHEN ctrl= 0 ELSE a b:... VHDL kd Arhitektura mikrsistema Optimizvana funkcinalna mreža

32 Ulga VHDL-a u prcesu prjektvanja Funkcinalna simulacija Vremenska simulacija nakn sinteze Vremenska simulacija nakn fizičkg prjektvanja Arhitektura mikrsistema

Arhitektura mikrosistema

Arhitektura mikrosistema Arhitektura mikrsistema Smer: Elektrnska kla i sistemi Semestar: IV Fnd: 2 + 2 + 1 Predmetni nastavnik: Gran Lj. Đrđević Predmetni asistent: Milica Mitić Knsultacije: kabinet1ž(u prizemlju) Arhitektura

More information

UVOD U MIKRORAČUNARSKU ELEKTRONIKU (VHDL)

UVOD U MIKRORAČUNARSKU ELEKTRONIKU (VHDL) Univerzitet u Novom Sadu Fakultet tehničkih nauka Odsek za energetiku, elektroniku i telekomunikacije Katedra za elektroniku Beleške sa predavanja iz predmeta: UVOD U MIKRORAČUNARSKU ELEKTRONIKU (VHDL)

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department Fall 2011 The Islamic University of Gaza Faculty of Engineering Computer Engineering Department ECOM 4111 - Digital Systems Design Lab Lab 7: Prepared By: Eng. Mohammed Samara Introduction: A counter is

More information

Class 19 Sequential Logic: Flip-Flop

Class 19 Sequential Logic: Flip-Flop Class 9 Sequential Logic: Flip-Flop June 2, 22 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger June 2, 22 3 Function Table of D Flip-Flop DFF CLK D D flip-flop

More information

Class 06 Sequential Logic: Flip-Flop

Class 06 Sequential Logic: Flip-Flop Class 06 Sequential Logic: Flip-Flop June 16, 2017 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger 1 June 16, 2017 3 Function Table of D Flip-Flop DFF D flip-flop

More information

Feedback Sequential Circuits

Feedback Sequential Circuits Feedback Sequential Circuits sequential circuit output depends on 1. current inputs 2. past sequence of inputs current state feedback sequential circuit uses ordinary gates and feedback loops to create

More information

Flip-Flops and Registers

Flip-Flops and Registers The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning. Flip-Flops and

More information

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik 8 Shift Registers A Johnson counter contains the basic structure of a shift register which is made up by a chain of D- FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can

More information

ENG2410 Digital Design Registers & Counters

ENG2410 Digital Design Registers & Counters ENG2410 Digital Design Registers & Cunters Registers: Definitin Register a set f flip-flps May include extensive lgic t cntrl state transitin Registers als refer t fast memry fr string data in a cmputer

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

PROGRAMSKA PODRŠKA U TELEVIZIJI I OBRADI SLIKE. DVB signalne informacije

PROGRAMSKA PODRŠKA U TELEVIZIJI I OBRADI SLIKE. DVB signalne informacije PROGRAMSKA PODRŠKA U TELEVIZIJI I OBRADI SLIKE DVB signalne informacije Hijerarhija MPEG-2 TS Programska Podrška u Televiziji i Obradi Slike E1 2015/2016 2/21 Sinhronizacija ES Postoje dva tipa vremenskih

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

CS/EE Homework 6

CS/EE Homework 6 CS/EE 260 - Homework 6 Due 3/16/2000 1. Use VHDL to design the 4 bit arithmetic unit specified in problem 4 of homework 5 (you may borrow from the posted solution, if you wish). Use a dataflow description

More information

VHDL 4 BUILDING BLOCKS OF A COMPUTER.

VHDL 4 BUILDING BLOCKS OF A COMPUTER. 1 VHDL 4 BUILDING BLOCKS OF A COMPUTER http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 We will learn Combinational circuit and sequential circuit Building blocks of a computer Control units are state

More information

ECE 3401 Lecture 11. Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits EE 3401 Lecture 11 Sequential ircuits Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

Design Problem 4 Solutions

Design Problem 4 Solutions CSE 260 Digital Computers: Organization and Logical Design Jon Turner Design Problem 4 Solutions In this problem, you are to design, simulate and implement a maze game on the S3 board, using VHDL. This

More information

library IEEE; use IEEE.STD_LOGIC_1164.ALL;

library IEEE; use IEEE.STD_LOGIC_1164.ALL; library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following

More information

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist Sequential circuits Same input can produce different output Logic circuit If the same input may produce different output signal, we have a sequential logic circuit. It must then have an internal memory

More information

Chapter 8 Registers & Counters

Chapter 8 Registers & Counters Chapter 8 Registers & Counters 8.1 Introduction Register is a type of sequential circuit used to store binary information or to manipulate the binary information which consists of flip-flops and combinational

More information

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project COE758 Xilinx ISE 9.2 Tutorial 2 ChipScope Overview Integrating ChipScope Pro into a project Conventional Signal Sampling Xilinx Spartan 3E FPGA JTAG 2 ChipScope Pro Signal Sampling Xilinx Spartan 3E FPGA

More information

DIGITAL SYSTEM DESIGN VHDL Coding for FPGAs Unit 7

DIGITAL SYSTEM DESIGN VHDL Coding for FPGAs Unit 7 DIGITAL SYSTM DSIGN VHDL Coding for FPGAs Unit 7 INTRODUCTION TO DIGITAL SYSTM DSIGN: Digital System Components Use of generic map to map parameters. xample: Digital Stopwatch xample: Lights Pattern mbedding

More information

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization ECE 448 Lecture 10 VGA Display Part 1 VGA Synchronization George Mason University Required Reading Old Edition of the Textbook 2008 (see Piazza) P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA

More information

VHDL test bench for digital image processing systems using a new image format

VHDL test bench for digital image processing systems using a new image format VHDL test bench for digital image processing systems using a new image format A. Zuloaga, J. L. Martín, U. Bidarte, J. A. Ezquerra Department of Electronics and Telecommunications, University of the Basque

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

Outputs Combinational circuit. Next state. Fig. 4-1 Block Diagram of a Sequential Circuit

Outputs Combinational circuit. Next state. Fig. 4-1 Block Diagram of a Sequential Circuit 4- Inputs Outputs ombinational circuit Next state Storage elements Present state Fig. 4- Block Diagram of a Sequential ircuit 2 Prentice Hall, Inc. 4-2 (a) t pd (b) t pd 2 t pd (d) 2 t pd (c) t pd Fig.

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks Outline PE/EE 422/522 Advanced Logic Design L4 Electrical and omputer Engineering University of Alabama in Huntsville What we know ombinational Networks Analysis, Synthesis, Simplification, Hazards, Building

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

Figure 1 Block diagram of a 4-bit binary counter

Figure 1 Block diagram of a 4-bit binary counter Lab 3: Four-Bit Binary Counter EE-459/500 HDL Based Digital Design with Programmable Logic Electrical Engineering Department, University at Buffalo Last update: Cristinel Ababei, August 2012 1. Objective

More information

Sekvencijalna logika

Sekvencijalna logika VTŠ: : Osnovi računarske tehnike Sekvencijalna logika mr. Veličkovi ković Zoran Mart, 2010. Sekvencijalna logička funkcija Logičke funkcije se mogu kategorizirati kao kombinacione ili kao sekvencijalne.

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

download instant at

download instant at Chapter 4: Modeling Behavior 1. Construct a VHDL model of a parity generator for 7-bit words. The parity bit is generated to create an even number of bits in the word with a value of 1. Do not prescribe

More information

Tolerant Processor in 0.18 µm Commercial UMC Technology

Tolerant Processor in 0.18 µm Commercial UMC Technology The LEON-2 2 Fault- Tolerant Processor in 0.18 µm Commercial UMC Technology Microelectronics Presentation Days ESTEC, 4 5 February 2004 Roland Weigand European Space Agency Data Systems Division TOS-EDM

More information

ECE 3401 Lecture 12. Sequential Circuits (II)

ECE 3401 Lecture 12. Sequential Circuits (II) EE 34 Lecture 2 Sequential ircuits (II) Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies 8 Nov 25 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

RANI BOOKING TURSKA LJETO 2018

RANI BOOKING TURSKA LJETO 2018 PUTNIČKA AGENCIJA FIBULA AIR TRAVEL AGENCY D.O.O. UL. FERHADIJA 24; 71000 SARAJEVO; BIH TEL:033/232523; 033/570700; E-MAIL: INFO@FIBULA.BA; FIBULA@BIH.NET.BA; WEB: WWW.FIBULA.BA SUDSKI REGISTAR: UF/I-1769/02,

More information

Figure 1: segment of an unprogrammed and programmed PAL.

Figure 1: segment of an unprogrammed and programmed PAL. PROGRAMMABLE ARRAY LOGIC The PAL device is a special case of PLA which has a programmable AND array and a fixed OR array. The basic structure of Rom is same as PLA. It is cheap compared to PLA as only

More information

Chapter 5. Introduction

Chapter 5. Introduction Chapter 5 Synchronous Sequential Logic Chapter 5 Introduction Circuits require memory to store intermediate data Sequential circuits use a periodic signal to determine when to store values. A clock signal

More information

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering HDL pre lab questions (2015-2016) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the

More information

4:1 Mux Symbol 4:1 Mux Circuit

4:1 Mux Symbol 4:1 Mux Circuit Exercise 6: Combinational Circuit Blocks Revision: October 20, 2009 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax STUDT I am submitting my own work, and I understand penalties will

More information

VLSI DESIGN LAB (EE-330-F) VI SEMESTER. Electrical and Electronics Engineering

VLSI DESIGN LAB (EE-330-F) VI SEMESTER. Electrical and Electronics Engineering VLSI DESIGN LAB (EE-330-F) VI SEMESTER Electrical and Electronics Engineering DEPARTMENT OF ELECTRICAL & ELECTRONICS DRONACHARAY COLLEGE OF ENGINEERING KHENTAWAS, GURGAON-123506 DEPARTMENT OF ELECTRICAL

More information

Bachelor of Technology (Electronics and Instrumentation Engg.)

Bachelor of Technology (Electronics and Instrumentation Engg.) 1 A Project Report on Embedded processor design and Implementation of CAM In partial fulfillment of the requirements of Bachelor of Technology (Electronics and Instrumentation Engg.) Submitted By Jaswant

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

Synchronous Sequential Design

Synchronous Sequential Design Synchronous Sequential Design SMD098 Computation Structures Lecture 4 1 Synchronous sequential systems Almost all digital systems have some concept of state the outputs of a system depends on the past

More information

Midterm Exam 15 points total. March 28, 2011

Midterm Exam 15 points total. March 28, 2011 Midterm Exam 15 points total March 28, 2011 Part I Analytical Problems 1. (1.5 points) A. Convert to decimal, compare, and arrange in ascending order the following numbers encoded using various binary

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

ECE 448 Lecture 12. VGA Display Part 4 Text Generation

ECE 448 Lecture 12. VGA Display Part 4 Text Generation ECE 448 Lecture 12 VGA Display Part 4 Text Generation George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 13, VGA Controller II: Text Source Codes of Examples http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html

More information

EECS 270 Midterm 1 Exam Closed book portion Winter 2017

EECS 270 Midterm 1 Exam Closed book portion Winter 2017 EES 270 Midterm 1 Exam losed book portion Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of

More information

Abstract Cover letter. Igor Pašti

Abstract Cover letter. Igor Pašti Abstract Cover letter Igor Pašti Istraživanje Identifikacija tematike/pretraga literature Postavka eksperimenta Izrada eksperimenta Analiza i diskusija rezultata Priprema publikacije Proces publikovanja

More information

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies 6 Dec 24 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. 1/2

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. 1/2 Video p. 1/2 Video Prof. Stephen A. Edwards sedwards@cs.columbia.edu Columbia University Spring 2007 Television: 1939 Du Mont Model 181 Video p. 2/2 Vector Displays Video p. 3/2 Raster Scanning Video p.

More information

Video. Prof. Stephen A. Edwards Columbia University Spring Video p.

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. Video Prof. Stephen A. Edwards sedwards@cs.columbia.edu Columbia University Spring 2008 Television: 1939 Du Mont Model 181 Vector Displays Raster Scanning Raster Scanning Raster Scanning Raster Scanning

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

GV3P401 TeSys GV3 termo magnetski-prekidač-30 40A- EverLink BTR/izravni konektori

GV3P401 TeSys GV3 termo magnetski-prekidač-30 40A- EverLink BTR/izravni konektori Podatkovni list proizvoda Karakteristike GV3P401 TeSys GV3 termo magnetski-prekidač-30 40A- EverLink BTR/izravni konektori Glavno Range Product name Device short name Product or component type Device application

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Elena Dubrova KTH/ICT/ES dubrova@kth.se This lecture BV pp. 98-118, 418-426, 507-519 IE1204 Digital Design, HT14 2 Programmable

More information

BOOK REVIEW. LUCA MALATESTI University of Rijeka. Received: 18/02/2019 Accepted: 21/02/2019

BOOK REVIEW. LUCA MALATESTI University of Rijeka. Received: 18/02/2019 Accepted: 21/02/2019 EuJAP Vol. 14 No. 2 2018 UDK: 130.1 (049.3) BOOK REVIEW Davor Pećnjak, Tomislav Janović PREMA DUALIZMU. OGLEDI IZ FILOZOFIJE UMA (Towards Dualism: Essays from Philosophy of Mind) Ibis grafika: Zagreb,

More information

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies Ilmenau, 9 Dec 206 Testing and programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge

More information

Task 4_B. Decoder for DCF-77 Radio Clock Receiver

Task 4_B. Decoder for DCF-77 Radio Clock Receiver Embedded Processor Lab (EIT-EMS-546-L-4) Task 4_B FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Task 4_B Decoder for DCF-77

More information

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Masoumeh (Azin) Ebrahimi (masebr@kth.se) Elena Dubrova (dubrova@kth.se) KTH / ICT / ES This lecture BV pp. 98-118, 418-426, 507-519

More information

ECE 448 Lecture 11. VGA Display Part 3 Animation

ECE 448 Lecture 11. VGA Display Part 3 Animation ECE 448 Lecture 11 VGA Display Part 3 Animation George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA Controller I: Graphic Source Codes of Examples http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html

More information

Osnovna pravila. Davanje i prihvatanje kritike. Sadržaj. Šta je to kritika?

Osnovna pravila. Davanje i prihvatanje kritike. Sadržaj. Šta je to kritika? Davanje i prihvatanje kritike Praktikum iz poslovne komunikacije Marko Mišić marko.misic@etf.bg.ac.rs Osnovna pravila o Tačnost rasporedje fleksibilan, ali trebalo bi svi da poštujemo ono što se jednom

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

ECE 448 Lecture 11. VGA Display Part 3 Animation

ECE 448 Lecture 11. VGA Display Part 3 Animation ECE 448 Lecture 11 VGA Display Part 3 Animation George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA Controller I: Graphic Source Codes of Examples http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

7 Nov 2017 Testing and programming PCBA s

7 Nov 2017 Testing and programming PCBA s 7 Nov 207 Testing and programming PCBA s Rob Staals JTAG Technologies Email: robstaals@jtag.com JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before

More information

Lab 6: Video Game PONG

Lab 6: Video Game PONG CpE 487 Digital Design Lab Lab 6: Video Game PONG 1. Introduction In this lab, we will extend the FPGA code we developed in Labs 3 and 4 (Bouncing Ball) to build a simple version of the 1970 s arcade game

More information

Finite State Machine Design

Finite State Machine Design Finite State Machine Design One machine can do the work of fifty ordinary men; no machine can do the work of one extraordinary man. -E. Hubbard Nothing dignifies labor so much as the saving of it. -J.

More information

STATIC RANDOM-ACCESS MEMORY

STATIC RANDOM-ACCESS MEMORY STATIC RANDOM-ACCESS MEMORY by VITO KLAUDIO OCTOBER 10, 2015 CSC343 FALL 2015 PROF. IZIDOR GERTNER Table of contents 1. Objective... pg. 2 2. Functionality and Simulations... pg. 4 2.1 SR-LATCH... pg.

More information

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET PREDMET: ARHITEKTURE I PROGRAMIRANJE PROGRAMIBILNIH KOLA

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET PREDMET: ARHITEKTURE I PROGRAMIRANJE PROGRAMIBILNIH KOLA UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET PREDMET: ARHITEKTURE I PROGRAMIRANJE PROGRAMIBILNIH KOLA SEMINARSKI RAD Projektovanje DSP-a na FPGA platformama korišćenjem System Generator projektnog alata PROFESOR

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 6: Simple and Complex Programmable Logic Devices MEMORY 2 Volatile: need electrical power Nonvolatile: magnetic disk, retains its stored information after the removal

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

viša razina ISPIT SLUŠANJA (Listening Paper)

viša razina ISPIT SLUŠANJA (Listening Paper) viša razina ISPIT SLUŠANJA (Listening Paper) ENG11.HR.R.K3.12 0039 12 1.indd 1 5.11.2012 10:44:03 Prazna stranica 99 2.indd 2 5.11.2012 10:44:03 UPUTE Pozorno slijedite sve upute. Ne okrećite stranicu

More information

Topics of Discussion

Topics of Discussion Digital Circuits Altera University Lab Exercise nvestigating Latches, Flip-Flops and Registers Spring 2015 Paul -Hai Lin, Professor of ECET Dept. of Computer, Electrical and nformation Technology ndiana

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

Smooth Ternary Signaling For Deep-Submicron(DSM) Buses

Smooth Ternary Signaling For Deep-Submicron(DSM) Buses Smooth Ternary Signaling For Deep-Submicron(DSM) Buses By Robert Endicott Hanson and Ryan Ian Fullerton Advisor: Vladimir Prodanov Senior Project Bachelor of Science Electrical Engineering Program California

More information

Waiting in Silence. œ œ. œ œ. si - lence,

Waiting in Silence. œ œ. œ œ. si - lence, Waitg Silence Cy Landry Arranged by Hal Wright Keyboard INTRO Gently (q = ca 96) 4 3 4 3 (Synth) VERSES 1, Soprano/Alto 1, Wait - g wait - g Fm/C n b 00, Cy Landry Published by OCP Publications, 5536 NE

More information

The 14 th International Animated Film Festival NAFF 2019

The 14 th International Animated Film Festival NAFF 2019 PRAVILNIK RULES I: GENERAL ASSUMPTIONS NAFF is individual legal subject that works on propagande of animated film among young people in BiH and other countries. Its main goal is positive influence on developement

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science SOLUTIONS

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science SOLUTIONS University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 5 Fall 25 R. H. Katz SOLUTIONS Problem Set #3: Combinational and Sequential Logic

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

viša razina ISPIT SLUŠANJA (Listening Paper)

viša razina ISPIT SLUŠANJA (Listening Paper) viša razina ISPIT SLUŠANJA (Listening Paper) ENG07.HR.R.K3.12 12.indd 1 12.7.2011 10:21:05 Prazna stranica 99 2.indd 2 12.7.2011 10:21:05 UPUTE Pozorno slijedite sve upute. Ne okrećite stranicu i ne rješavajte

More information

Digital Systems Laboratory 1 IE5 / WS 2001

Digital Systems Laboratory 1 IE5 / WS 2001 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you

More information

UltraLogic 128-Macrocell Flash CPLD

UltraLogic 128-Macrocell Flash CPLD fax id: 6139 CY7C374i Features UltraLogic 128-Macrocell Flash CPLD Functional Description 128 macrocells in eight logic blocks 64 pins 5 dedicated inputs including 4 clock pins In-System Reprogrammable

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

viša razina LISTENING PAPER

viša razina LISTENING PAPER Nacionalni centar za vanjsko vrednovanje obrazovanja viša razina LISTENING PAPER 12 ENG-V-C-slusanje.indb 1 30.11.2010 9:58:07 Prazna stranica 99 ENG-V-C-slusanje.indb 2 30.11.2010 9:58:07 UPUTE Pozorno

More information

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA NASIR MEHMOOD 1, JENS OGNIEWSKI AND VINODH RAVINATH 1 Department of Electrical Engineering Air University PAF Complex, Sector

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State.

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State. FSM Implementations TIE-50206 Logic Synthesis Arto Perttula Tampere University of Technology Fall 2016 Input Next State Current state Output Moore Acknowledgements Prof. Pong P. Chu provided official slides

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

VGA Pixel Buffer Stephen Just

VGA Pixel Buffer Stephen Just VGA Pixel Buffer Stephen Just 2016-02-20 1 Introduction Video output is often a useful addition to interactive projects but typically there have been many performance limitations with respect to video

More information

TYPICAL QUESTIONS & ANSWERS

TYPICAL QUESTIONS & ANSWERS DIGITALS ELECTRONICS TYPICAL QUESTIONS & ANSWERS OBJECTIVE TYPE QUESTIONS Each Question carries 2 marks. Choose correct or the best alternative in the following: Q.1 The NAND gate output will be low if

More information

Problems on digital circuits and systems

Problems on digital circuits and systems Problems on digital circuits and systems Francesc J. Robert Josep Jordana 2018 (V3) 4 Contents Contents Preface... 8 Combinational circuits... 13 P1 Logic gates and Boolean Algebra... 14 1.1 Circuit analysis,

More information