Launch-on-Shift-Capture Transition Tests

Size: px
Start display at page:

Download "Launch-on-Shift-Capture Transition Tests"

Transcription

1 Launch-on-Shift-Capture Transition Tests Intaik Park and Edward J. McCluskey Center for Reliable Computing, Stanford University, Stanford, USA Abstract The two most popular transition tests are launch-on-shift (LOS) test and launch-on-capture (LOC) test. The LOS and LOC tests differ in their launch mechanisms, creating their own pros and cons. In this paper, new hybrids of LOS and LOC tests that launch transitions using both launch mechanisms are introduced. The new transition tests improved fault coverage without significant test length penalty. This paper presents the concepts and pattern generation methods of these new transition tests as well as experimental results that demonstrate the benefits of these tests. places timing requirements on the routing of scan-enable signal. Figure 1. The concept and waveforms of LOS 1. Introduction The transition test [Waicukauski 87] is one of the most widely used techniques to ensure the correct temporal behavior of the manufactured integrated circuits (ICs). It consists of a pair of vectors (V 1,V 2 ). The first vector, V 1, initializes a logic value at a fault site (a node in a network). Then, the second vector, V 2, launches a transition of logic values (0 1 or 1 0) at the fault site and propagates the transition to an observable point (a scan flip-flop or a primary output). Transition tests are categorized by how they launch transitions: launch-on-shift (LOS), launch-on-capture (LOC), and enhanced-scan transition tests. LOS and LOC tests do not require any additional hardware while the enhanced-scan transition test requires special types of scan flip-flops to apply the test vectors [Dasgupta 81]. The enhanced-scan transition is not considered in this paper; the focus is only on the first two types of transition tests. The launch-on-shift (LOS) test launches a transition of a logic value by the last clock pulse of the scan shift operation [Eichelberger 91, Savir 92], followed by a system clock pulse that captures the transition. Figure 1 illustrates the concept of LOS testing along with the waveforms of clock signal (clk) and scan-enable signal (SE). The time period between the launch clock pulse (cp1) and the capture clock pulses (cp2) determines the test application frequency. Note that the scan-enable signal must fully transition during this time period, and this Figure 2. The concept and waveforms of LOC Several methods exist to mitigate the timing requirements on the scan-enable signal of LOS testing. Level Sensitive Scan Design (LSSD) requires two fast clock signals that could be used to launch and capture transitions [Eichelberger 77]. Another method involves locally generating fast scan-enable signals for LOS testing [Ahmed 06, Wang 04]. For the rest of the paper, we assume that the designs considered in this work have capabilities to apply LOS test. The launch-on-capture (LOC) transition test launches a transition through a logic network which is controlled by system clock pulses [Eichelberger 91, Savir 94]. In LOC testing, both launch and capture vectors are initiated by system clock pulses. The concept and waveforms of the LOC test are described in Fig. 2. In Fig.s 1 and 2, it should be noticed that the second clock pulse (cp2) of LOS and both clock pulses (cp1 and cp2) of LOC are system clock pulses. In addition, the LOC test procedure also requires the scan shift operations and inherently contains the last scan shift clock pulse. However, unlike LOS test, the last shift of LOC need not be applied at fast speed. Paper 35.3 INTERNATIONAL TEST CONFERENCE /08/$ IEEE

2 Therefore, if an additional system clock pulse is appended to the LOS test and the last shift clock of the LOC test is applied at fast speed, the test applications of both tests are identical. This introduces the mix of these two test methods, or hybrids of LOS and LOC: launch-on-shiftcapture (LOSC) test and launch-on-capture-shift (LOCS) test. The launch-on-shift-capture (launch-on-capture-shift) test is a three-pattern test for transition faults that launches transitions by both launch-on-shift and launch-on-capture mechanisms. The concepts of LOSC and LOCS tests and their signal waveforms are illustrated in Fig. 3. LOSC (LOCS) tests consist of three vectors (V 1,V 2,V 3 ). The first vector (V 1 ) initializes a logic value at a fault site as in LOS. Then the second vector (V 2 ) launches a transition and propagates it. At the same time, V 2 also initializes a logic value at a different fault site in a way the first vector of LOC does. Finally, the third vector (V 3 ) performs two things; 1) it propagates the fault effect launched by vector pair (V 1,V 2 ), and 2) it launches a transition initialized by V 2 and propagates it. Figure 3. The concept and waveforms of LOCS and LOSC LOSC and LOCS are identical in terms of test application (last shift clock pulse followed by two system clock pulses), but differ in how they are generated. LOSC test is made by generating LOS test first with don t-care bits unspecified. These don t-care bits are filled with LOC test. LOCS test is generated in the same way, but the LOC test is generated first before LOS test is used to fill the don t-care bits. The don t-care bits are exploited in the context of test set compaction [Goel 79], test data compression [Hiraide 03], or power reduction during scan shift operations [Cho 07]. LOSC and LOCS share the same concept with the compaction scheme; they utilize don t-care bits to detect additional faults. However, LOSC and LOCS differ from existing compaction methods. LOSC (LOCS) targets additional faults either by LOC or LOS, whichever is easier, while compaction uses only one method to test additional faults. Therefore, in LOSC and LOCS, LOSuntestable faults can be tested by LOC and LOCuntestable faults by LOS. On the other hand, conventional compaction techniques leave untestable faults undetected. The rest of the paper is organized as the following. Section 2 discusses the limitations of conventional transition tests and previous works on the hybrids of LOS and LOC. Section 3 describes the test generation methods. Section 4 presents the experimental setup and results on benchmark circuits. Section 5 discusses the benefits and limitations. Finally, Sec. 6 concludes the paper. 2. Previous works In this section, possible problems related to the two transition test approaches as well as previous researches to alleviate these problems are introduced Untestable faults LOC test usually achieve lower fault coverages than LOS test. In many cases, it is due to functionally unsensitizable faults that are not tested by LOC [Savir 94, Rearick 01]. Many of these faults can be tested under LOS since it does not launch transitions through a functional logic network. The detection of these faults by LOS methods is suspected to cause over-testing (rejecting good devices by falsely testing under environments that would not happen in functional mode). Nevertheless, it is also shown that some of these faults are testable by LOC when more than two clock pulses are used for fault activation and propagation [Abraham 06, Zhang 06]. On the other hand, LOS test does not always achieve 100% fault coverage. There are also untestable faults in LOS testing as described in [Zhang 07]. Some of these faults are untestable due to shift-dependency, but may actually fail the system operation if present. To reduce the number of LOS untestable faults, scan chain reordering or test-point insertion techniques were developed [Li 05, Gupta 03, Wang 03]. It is also noticeable that some of these faults may be detected under LOC test approach [Zhang 06] Hybrids of LOS and LOC As discussed above, LOS-untestable faults may be tested by LOC while LOC-untestable faults may be tested by LOS. Naturally, there have been efforts to combine the advantages of both testing methods [Ahmed 06, Wang 04, Devtaprasanna 05]. In [Ahmed 06, Wang 04], circuits are partitioned into two regions. One region is controlled by slow scan-enable signals to be tested by LOC test. The other region is controlled by locally generated fast scan-enable signals and tested by LOS test. These methods partition circuits into many regions by a controllability measure or a developed cost function. The quality of the partitioning determines the effectiveness of the methods. Also, under these methods, portions of circuits are tested solely by LOS while other parts are tested by LOC only. Therefore, LOS-untestable faults in LOS-tested regions and LOC-untestable faults in LOC- Paper 35.3 INTERNATIONAL TEST CONFERENCE 2

3 tested regions are still uncovered. On the other hand, LOSC and LOCS do not depend on partitioning of circuits and they can detect faults by either LOC or LOS, whichever is easier. Another approach was to implement multiple scan-enable signals [Devtaprasanna 05]. These scan-enable signals do not require fast switching capability, but are controlled separately. Hence, while some scan-enable signals are de-asserted to perform LOC test, other scan-enable signals are kept high, keeping flip-flops in the shift mode. Therefore, some flip-flops perform launch and capture while other flip-flops only launch transitions by shift operations. In this method, a fault can be tested either by LOS or LOC. However, when some faults are tested by one test method, other faults in the same scan-enable region have to be tested by the same method in one test pattern. On the other hand, LOSC and LOCS can test faults in different methods simultaneously, which may increase the efficiency of a test pattern. 3. Test pattern Generation To generate LOSC and LOCS tests, Automatic Test Pattern Generator (ATPG) tools for LOS and LOC test are required. LOC ATPG can be used directly without any modifications, but LOS ATPG requires a slight modification. Unlike the conventional LOS test where fault effects are propagated only one time frame, the LOS test for LOSC and LOCS propagates fault effects over two time frames. However, in this paper, to generate LOS test with two system clocks without modifications to the existing ATPG, the netlists of the circuits were unrolled to emulate iterative networks of the circuits. The iterative network is a form that emulates multiple time frames of the circuit operation [McCluskey 58]. Using the unrolled netlists, conventional LOS ATPG could be used directly to generate LOSC and LOCS. The test generation flow is shown in Fig. 4 as a pseudocode. Note that the LOC test generation and fault simulation in the procedure use regular netlists while the LOS test generation and fault simulation use unrolled netlists. The generation of LOSC and LOCS consists of two ATPG processes: the primary ATPG and the secondary ATPG. The primary ATPG is an ATPG process that generates test patterns without any restrictions. The patterns generated by the primary ATPG contain don tcare bits that are not specified. For LOSC (LOCS), the primary ATPG produces test that launches transition by LOS (LOC) method. Test generation flow (pseudo-code) While ( undetected fault exists ) { Primary LOS (LOC) ATPG Extract care bits of LOS (LOC) pattern Secondary LOC (LOS) ATPG (with care bits from LOS (LOC) pattern) Fault simulate in LOS (LOC) method (with don t-care bits filled by LOC (LOS)) } Figure 4. LOSC and LOCS test generation flow The secondary ATPG is another ATPG process that exploits the unspecified bits of the test patterns from the primary ATPG to test additional faults. It can be regarded as a way to fill don t-care bits of existing test pattern. To keep the original care bits from the primary ATPG result during the secondary ATPG process, these care bits are extracted and used as constraints during the secondary ATPG. If there are don t-care bits left after the secondary ATPG process, they are filled pseudo-randomly. In LOSC (LOCS) test, the secondary ATPG uses LOC (LOS) method. The resulting test pattern is fault simulated again in LOS (LOC) method. This is necessary to identify additional LOS (LOC) detection by the care bits from the secondary ATPG. The iterative network for LOS ATPG would contain twice as many faults as in the original network. To prevent falsely testing faults in the second time frame, only faults that belong to the first time frame are considered in LOS ATPG. Table 1. Benchmark circuits circuit Gate count FF count No. of Faults s , ,940 s , ,738 s ,179 1,564 43,032 s ,253 1,275 47,074 b17 12,949 1,314 95,328 b18 35,884 3, ,746 b19 69,437 6, ,140 b20 7, ,542 b21 7, ,418 b22 11, ,664 Paper 35.3 INTERNATIONAL TEST CONFERENCE 3

4 Table 2. Test pattern counts and fault coverages of test sets circuit LOS LOSC LOC LOCS length cov Length cov length cov length cov s % % % % s % % % % s % % % % s % % % % b17 1, % 1, % 1, % 1, % b18 4, % 4, % 5, % 4, % b19 9, % 6, % 8, % 8, % b20 1, % 1, % 1, % 1, % b21 1, % 1, % 1, % 1, % b22 1, % 1, % 1, % 1, % 4. Experimental Results We evaluated the test length and fault coverage of LOSC and LOSC tests on some of ISCAS89 and ITC99 benchmark circuits shown in Table 1. To attain the most efficient LOSC or LOCS test sets, single test pattern should be generated by the primary ATPG and filled by the secondary ATPG before the next pattern is considered. In this way, the primary ATPG for the next pattern would not target faults that could be detected by the secondary ATPG for the previous pattern. However, the commercial ATPG tool is highly optimized for compaction. Due to the optimization, when the ATPG tool generates one test pattern at a time and repeats until all the faults are tested or tried, the resulting test length was abnormally longer than a test generated at once by the same ATPG tool. It is unfair to compare our approach with the LOS and LOC generated at once due to the optimization performed on the latter. Thus, we settled with something in between the two extreme cases. For the purpose of fair comparison, all the test sets in this paper were generated in a group of 32 patterns, so that both the proposed approach (LOSC and LOCS) and the base case (LOS and LOC) do not benefit from the optimization of the ATPG tool. In addition, all the test patterns were generated with the default backtracking limit (abort limit of 10) and the default compaction option (high compaction) LOSC and LOCS test sets LOSC and LOCS test sets were generated as described above. They are compared with LOS and LOC test sets in terms of test length and fault coverage in Table 2. In this table, columns under label length represent test length and columns labeled as cov represent the fault coverages of the test sets. In each row, the shortest test length and the highest fault coverage are bold-faced. Table 2 shows that LOSC tests achieved the highest fault coverage. However, the test length of LOSC was comparable to LOS test or shorter than LOS test in some cases. LOCS performs better than LOC, but worse than LOS and LOSC. That is because the LOCS test generation terminates when the primary LOC ATPG cannot produce any more tests for undetected faults, without invoking the secondary LOS ATPG even though some of these faults could be tested by LOS. The CPU time required to generate these test sets were not considered in this experiment. The commercial ATPG tool is optimized to generate pure LOS or LOC tests, but the generation of LOSC and LOCS tests requires invocations of both LOS and LOC ATPGs. In addition, the implementation of LOSC and LOCS is not integrated into the ATPG tool, but it is rather an augmented form. Therefore, in this work, the qualities of the test sets are compared without considering the test generation time Fault Coverage LOSC and LOCS tests achieve higher fault coverage compared to LOS and LOC respectively. These improvements in fault coverage are due to the undetectable faults under one method (LOC or LOS) being detected by the other method. Table 3 summarizes undetected faults in each method. Column 2 shows the total number of faults in each circuit. Column 3 and 4 represent undetected faults by LOS and LOC test respectively. Column 5 shows the number of undetected faults after applying both LOS and LOC. Finally, column 6 and 7 represents undetected faults of LOSC and LOCS test respectively. In each row, the entries with the fewest undetected faults are bold-faced. Paper 35.3 INTERNATIONAL TEST CONFERENCE 4

5 Table 3. Undetected faults of each test method circuit total faults LOS LOC LOS+LOC LOSC LOCS s , , s , , ,782 s , , ,824 s , , ,082 b17 95,328 17,437 28,726 11,641 11,871 29,592 b18 263,746 40,231 85,672 26,029 28,766 85,158 b19 511,140 81, ,142 53,981 54, ,789 b20 555,42 2,176 6,484 1,752 1,783 6,328 b21 56,418 2,167 7,067 1,802 1,871 7,249 b22 83,664 2,874 12,872 2,614 2,709 12,642 In most cases, the combination of LOS and LOC had the fewest undetected faults, or the most detected faults. Table 3 shows that some of LOS undetected faults were detected by LOC test and the some of LOC undetected faults by LOS test. Hence, when both LOS and LOC tests were applied, the number of undetected faults was the least. However, it should be noticed that LOSC detected the comparable number of faults to the combination of LOS and LOC. To show the portion of LOS detected faults and LOC detected faults in LOSC test, the numbers of faults in each category of b17 and b18 circuits are plotted in Fig. 5 and Fig. 6 respectively. Detected Faults 80,000 70,000 60,000 50,000 40,000 30,000 20, loc detect los detect Figure 5. LOSC test - detected faults by LOS and LOC (b17) Detected Faults LOC detect LOS detect Figure 6. LOSC test - detected faults by LOS and LOC (b18) In Fig.s 5 and 6, it is shown that the LOS detected faults are the majority of detected faults throughout the entire test set and LOC detection is insignificant in the beginning of the test set. However, at certain points (at pattern number 992 in Fig. 5 and at pattern number 1,312 in Fig. 6), the number of LOC detected faults increases while additional LOS detection is minimal. % don't-care bits % 95.00% 90.00% 85.00% 80.00% 75.00% Figure 7. Don t-care bit percentages of test patterns (b17) % don't-care bits % 95.00% 90.00% 85.00% 80.00% Figure 8. Don t-care bit percentages of test patterns (b18) It is well known that, in the last phase of test pattern generation, a significant number of patterns is required to gain the last small portion of fault coverage [McCluskey 89]. The patterns generated at the end are less efficient, or contain many don t-care bits, which give the secondary ATPG more freedom to produce tests for additional faults. The percentages of don t-care bits in scan loads of the primary ATPG (LOS) generated test patterns in LOSC are plotted in Fig.s 7 and 8. In these figures, each column shows the average percentage of don t-care bits of 32 test Paper 35.3 INTERNATIONAL TEST CONFERENCE 5

6 patterns, which would be fed to the secondary ATPG to generate additional LOC tests. The test patterns contain many don t-care bits (over 95% of a scan load) starting from pattern number 992 in Fig. 7 and pattern number 1,312 in Fig. 8. Notice the points where the percentages of don t-care bits increase and where the LOC detection increases (Fig. 5 and Fig. 6) are aligned Test Set Length LOCS test were shorter and achieved higher fault coverage than LOC test. However, the fault coverage of LOCS test was still lower than LOS or LOSC test. On the other hand, LOSC test improved fault coverage of LOS test, but it sometimes resulted in longer test length. The increased test length of LOSC over LOS is suspected to be caused by the two-time-frame propagation requirements of LOS test used in LOSC. To verify this assumption, LOS test sets generated for original circuits and the unrolled circuits (faults propagated over two time frames) are compared in Table 4. Table 4. Comparison on LOS of original and unrolled circuit LOS LOS Unroll LOSC circuit length fc (%) Length fc (%) Pat fc (%) s s s s B17 1, , , B18 4, , , B19 9, , , B20 1, , , B21 1, , , B22 1, , , In Table 4, columns under length represent the test lengths and columns under fc show the fault coverages. The test lengths for the unrolled circuits were always longer than the tests for the original circuits. However, LOSC tests were usually shorter than LOS for unrolled circuits and sometimes even shorter than LOS for original circuits. This is when the additional fault detection by LOC in LOSC test compensated the test length penalties from the two-time-frame propagations of unrolled LOS. The test length reduction of LOSC over unrolled LOS can also be coming from the mixed use of LOS and LOC. As discussed above, a significant number of patterns are used to test hard-to-detect faults at the end of test generations. However, hard-to-detect faults in LOS are not necessarily hard-to-detect in LOC. When this is the case, the pattern count can be decreased if they are targeted by LOC ATPG instead of LOS ATPG. Even though LOSC test achieved higher fault coverage compared to LOS test, the longer test length is not desirable. To fairly compare the test length and fault coverage of LOSC and LOS, the fault coverage of both tests were plotted in Fig. 9 and Fig. 10. Fault Coverage 90% 80% 70% 60% 50% 40% LOSC 30% LOS Figure 9. Fault coverage comparison of LOS and LOSC (b17) Fault Coverage 100% 90% 80% 70% 60% 50% 40% 30% 20% LOSC LOS Figure 10. Fault coverage comparison of LOS and LOSC (b18) In these figures, the fault coverage of LOS is higher than LOSC at the first portion of the test set. But, in the later part of the test set, LOSC achieves higher fault coverage than LOS. The cross-over points are at pattern number 992 in Fig. 9 and pattern number 1,056 in Fig. 10. These points are the same or very close to where the number of don t-care bits (Fig.s 7 and 8) and the LOC fault detections are increased (Fig.s 5 and 6). If the highest possible fault coverage is desired, LOSC test is better than LOS test. If the test length is the concern and the test has to be truncated, LOSC has higher fault coverage as long as the test set is truncated after the cross-over point (pattern number 992 of b17 LOSC test and pattern number 1,056 of b18 LOSC test) Launch-on-shift with Launch-on-capture top-off We think that LOSC and LOCS tests achieved higher fault coverages because they use both LOS and LOC methods. Hence, it would be natural to compare LOSC tests with combinations of LOS and LOC tests. Paper 35.3 INTERNATIONAL TEST CONFERENCE 6

7 LOS test achieved higher fault coverage and shorter test length than LOC test. However, like all the transition test generations, LOS test generation becomes inefficient when only hard-to-detect faults are left and many patterns are required to detect these faults to improve the last portion of the fault coverage. Therefore, it may be beneficial to switch to LOC test at certain point and generate top-off LOC patterns, which test hard-to-detect faults of LOS testing using LOC test. To compare this idea with LOSC test sets, LOS tests were topped-off with LOC tests at four different points. Each group of 32 patterns were fault simulated during the LOS test generation and when the increase of the fault coverage by the last 32 pattern was 1) less than 1%, 2) less than 0.5%, 3) less than 0.2%, and 4) less than 0.1%, the LOS test generation stopped and LOC test generation started on the remaining undetected faults. The resulting test sets are shown in Table 5. In Table 5, the test with the highest fault coverage is boldfaced. LOSC test always achieved the highest fault coverage. LOS tests topped-off with LOC tests achieved comparable fault coverages to LOSC test sets, but with more test patterns Experimental Result Summary LOSC and LOCS showed higher fault coverage than LOS and LOC tests respectively. LOSC test sets were sometimes longer and sometimes shorter than LOS tests while LOCS achieved more compact test than LOC test in most cases. Given the higher fault coverages of LOSC test set, aspects that affect the LOSC test length were investigated. First, when LOS fault coverage is relatively low (or more room to improve fault coverage), LOSC test set achieved better compaction with significant fault coverage improvement. Secondly, the more don t-care bits in a test pattern, the better the secondary LOC ATPG performed, detecting more faults by the LOC method. 5. Discussions The benefits of LOSC and LOCS tests are as the followings. First, they target untestable faults under one method (LOS or LOC) using the other method, improving fault coverage. Secondly, hard-to-detect faults in one method may be east-to-detect in the other method. Hence, testing each fault using the easier way can improve the efficiencies of test patterns, which may decrease test length. Lastly, they can be implemented on top of existing ATPG with compaction, leading to more efficient use of don t-care bits. LOSC and LOCS tests also have limitations. First, they require fast switching scan-enable signals. However, even without the fast SE signal, a LOCS test set has an advantage over a conventional LOC test. The LOS fault activation mechanism initiates transitions of logic values at fault sites and propagates the fault effects. This is analogous to a test for stuck-open faults when they are not applied at-speed. Hence, LOCS would have higher stuckopen fault coverage than LOC. Secondly, the LOS test in LOSC (LOCS) needs to propagate fault effects over two time frames rather than one time frame of the conventional LOS test, which penalizes the test length. However, when combined with LOC, LOSC and LOCS performed the same or the better in terms of fault coverage and/or test length compared to pure LOS, which justifies the use of two time frames. In this paper, possible improvements of LOS and LOC transition tests in terms of test length and fault coverage were investigated. However, the quality of the test set is not only measured by these two metrics. Other ways to Table 5. LOS test topped-off with LOC test LOS+LOC LOS (orig) (<1%) LOS+LOC (<0.5%) LOS+LOC (<0.2%) LOS+LOC (<0.1%) circuit length fc (%) length fc (%) length fc (%) length fc (%) length fc (%) length fc (%) s NA NA s s NA NA s b17 1, , , , , , b18 4, , , , , , b19 9, , , , , b20 1, , , , , , b21 1, , , , , , b22 1, , , , , , LOSC Paper 35.3 INTERNATIONAL TEST CONFERENCE 7

8 improve the transition test quality include applying the concept of TARO (Transition fault propagated to All Reachable Outputs) [Tseng 01, Park 05], N-detect [Ma 95] or employing multiple clock cycles [Zhang 06]. The idea of mixing two different tests in one test pattern should not be limited to the hybrids of LOC and LOS. Previous researchers have shown the importance of using multiple test metrics to test manufactured ICs [Maxwell 93, Nigh 97, Ferhani 06]. The hybrid concept of LOSC can be extended to the mix of different test metrics for this purpose. As long as don t-care bits are available in test patterns, they can be exploited to generate additional tests for different metrics. For example, LOC and single stuckat fault test or single stuck-at test and bridge fault test can be combined. 6. Conclusion In this paper, Launch-on-Shift-Capture and Launch-on- Capture-Shift tests are introduced. These tests exploits don t-care bits of existing LOS (LOC) test set to detect additional faults by LOC (LOS) launch mechanism, which may be more efficient for some faults. Experimental results showed that LOSC test achieved higher fault coverage than any other test or mix of test sets. 7. Acknowledgments We thank Rohit Kapur of Synopsys, Brion Keller of Cadence and Samy Makar of C-Switch for their helpful discussions and support. We thank all the members of CRC for their help. 8. References [Abraham 06] J. Abraham, U. Goel, A. Kumar, Multi-Cycle Sensitizable Transition Delay Faults, Proc. VLSI Test Symp., [Ahmed 06] N. Ahmed, M. Tehranipoor, Improving Transition Delay Test Using a Hybrid Method, IEEE Design & Test, vol. 23, issue 5, pp , [Avramovici 92] M. Abramovici, P. S. Parikh, Warning: 100% Fault Coverage May Be Misleasing!, Proc. Intl. Test. Conf., pp , [Butler 04] Butler, K. M., et al., Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques, Proc. Intl. Test Conf., pp , [Cho 07] K.Y. Cho, S. Mitra, and E. J. McCluskey, California Scan Architecture for High Quality and Low Power Testing, Proc. Int l Test Conf., [Dasgupta 81] S. Dasgupta, R. G. Walther, T. W. Willams and E. B. Eichelberger, An Enhancement to LSSD and Some Applications of LSSD in Reliability, Availability and Serviceability, Proc. FTCS, pp , [Devtaprasanna 05] N. Devtaprasanna, A. Gunda, P. Krishnamurthy, S. M. Reddy and I. Pomeranz, A Novel Method of Improving Transition Delay Fault Coverage Using Multiple Scan Enable Signals, Proc. ICCD, pp , [Eichelverger 77] Eichelberger, E. B. and T. W. Williams, A Logic Design Structure for LSI Testability, Proc. Design Automation Conf., pp , [Eichelberger 91] Eichelberger, E. B., E. Lindbloom, J. A. Waicukauski and T. W. Williams, Delay-Fault Simulation, Structured Logic Testing, McCluskey (Ed.), Prantice-Hall, Inc., Englewood Cliffs, New Jersey, [Ferhani 06] F.-F. Ferhani and E. J. McCluskey, Classifying Bad Chips and Ordering Test Sets, Proc. Int l Test Conf., pp. 1-10, [Goel 79] P. Goel and B. C. Rosales, Test Generation & Dynamic Compaction of Tests, Dig. Papers Test Conf., pp , [Gupta 03] P. Gupta, et. al., Layout-Aware Scan Chain Synthesis for improved Path Delay Fault Coverage, Proc. ICCAD, pp , [Hiraide 03] Hiraide, T., et al., BIST-aided Scan Test A new Method for Test Cost Resutction, Proc. VLSI Test Symp., pp , [Li 05] W. Li, et. al., Distance Resticted Scan Chain Reordering to Enhance Delay Fault Coverage, Proc. Int l Conf. VLSI Design, pp , [Ma 95] S. C. Ma, P. Franco, and E. J. McCluskey, An Experimental Chip to Evaluate Test Techniques Experiment Results, Proc. Int l. Test Conf., pp , [Maxwell 93] P. C. Maxwell and R. C. Aitken, Test Sets and Reject Rates: All Fault Coverages are Not Created Equal, IEEE Design & Test, pp , [McCluskey 58] E. J. McCluskey, Iterative Combinational Switching Networks General Design Considerations, IRE Trans. Elctron. Comput., Vol. EC-7., pp , [McCluskey 89] E. J. McCluskey and F. Buelow, IC Quality and Test Transparency, Trans. IEEE Industrial Electronics, vol. 36, no. 2, May, [Nigh 97] P. Nigh, W. Needham, K. Butler, P. Maxwell, R. Aitken, and W. Maly, So What is an Optimal Test Mix? A Discussion of the SEMATECH Methods Experiment, Proc. Int l Test Conf., pp , [Park 05] I. Park, A. Al-Yamani, E. J. McCluskey, Effective TARO pattern generation, Proc. VLSI Test Symp., pp , [Rearick 01] J. Rearick, Too much delay fault coverage is a bad thing, Proc. Int l Test Conf., pp , [Savir 92] J. Savir, Skewed-Load Transition Test: Part I, Calculus, Proc. Intl. Test Conf., pp , [Savir 94] J. Savir and S. Patil, Broad-side delay test, IEEE trans. On CAD of IC and System, vol. 13, pp , [Tseng 01] C. W. Tseng and E. J. McCluskey, Multiple-output propagatioin transition fault test, Proc. Int l. Test Conf., pp , [Wang 03] S. Wang and S. T. Chakradhar, Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs, Proc. Int l. Test Conf., pp , [Wang 04] S. Wang, X. Liu, S. T. Chakradhar, Hybrid delay scan: a low hardware overhead scan-based delay test technique for high fault coverage and compact test sets, Paper 35.3 INTERNATIONAL TEST CONFERENCE 8

9 Proc. Deaign Automation and Test in Europe, vol. 2. pp , 2004 [Waicukauski 87] J. Waicukauski, et. al., Transition Fault Simultaion, IEEE Design and Test, pp , April [Zhang 06] Z. Zhang, et. al., Scan Tests with Multiple Fault Activation Cycles for Delay Faults, Proc. VLSI Test Symp., [Zhang 07] Z. Zhang, S.M. Reddy, I. Pomeranz, Warning: Launch off Shift tests for Delay Faults May Contribute to Test Escapes, Proc. Asia and South Pacific Design Automation Conf., Paper 35.3 INTERNATIONAL TEST CONFERENCE 9

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

An Experiment to Compare AC Scan and At-Speed Functional Testing

An Experiment to Compare AC Scan and At-Speed Functional Testing An Experiment to Compare AC Scan and At-Speed Functional Testing Peter Maxwell, Ismed Hartanto and Lee Bentz Integrated Circuit Business Division Agilent Technologies ABSTRACT This paper describes an experimental

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Nabil Badereddine Patrick Girard Serge Pravossoudovitch Christian Landrault Arnaud Virazel Laboratoire

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

Comparing Functional and Structural Tests

Comparing Functional and Structural Tests Comparing Functional and Structural Tests Peter Maxwell, Ismed Hartanto and Lee Bentz Imaging Electronics Division Agilent Technologies ABSTRACT This paper describes an experimental study to understand

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Impact of Test Point Insertion on Silicon Area and Timing during Layout Impact of Test Point Insertion on Silicon Area and Timing during Layout Harald Vranken Ferry Syafei Sapei 2 Hans-Joachim Wunderlich 2 Philips Research Laboratories IC Design Digital Design & Test Prof.

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

ELF-Murphy Data on Defects and Test Sets

ELF-Murphy Data on Defects and Test Sets ELF-Murphy Data on Defects and Test Sets E. J. McCluskey, Ahmad Al-Yamani, James C.-M Li, Chao-Wen Tseng, Erik Volkerink, Francois-Fabien Ferhani, Edward Li, and Subhasish Mitra Stanford Center for Reliable

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Partial Scan Selection Based on Dynamic Reachability and Observability Information

Partial Scan Selection Based on Dynamic Reachability and Observability Information Proceedings of International Conference on VLSI Design, 1998, pp. 174-180 Partial Scan Selection Based on Dynamic Reachability and Observability Information Michael S. Hsiao Gurjeet S. Saund Elizabeth

More information

Deterministic Logic BIST for Transition Fault Testing 1

Deterministic Logic BIST for Transition Fault Testing 1 Deterministic Logic BIST for Transition Fault Testing 1 Abstract Valentin Gherman CEA, LIST Boîte Courrier 65 Gif-sur-Yvette F-91191 France valentin.gherman@cea.fr Hans-Joachim Wunderlich Universitaet

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Efficient Path Delay Testing Using Scan Justification

Efficient Path Delay Testing Using Scan Justification Efficient Path Delay Testing Using Scan Justification Kyung-Hoi Huh, Yong-Seok Kang, and Sungho Kang Delay testing has become an area of focus in the field of digital circuits as the speed and density

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects Abstract Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut tehrani@engr.uconn.edu

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

Defects vs. Faults Some Data from the ELF35 and Murphy Chips

Defects vs. Faults Some Data from the ELF35 and Murphy Chips Submission to VTS 2003 To the reviewer: We are still collecting data from these chips. The blank entries in the tables will be filled in for the final version. Also, we expect to have some additional relevant

More information

Design of Routing-Constrained Low Power Scan Chains

Design of Routing-Constrained Low Power Scan Chains 1530-1591/04 $20.00 (c) 2004 IEEE Design of Routing-Constrained Low Power Scan Chains Y. Bonhomme 1 P. Girard 1 L. Guiller 2 C. Landrault 1 S. Pravossoudovitch 1 A. Virazel 1 1 Laboratoire d Informatique,

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction 2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction Sungyoul Seo 1, Yong Lee 1, Hyeonchan Lim 1, Joohwan Lee

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points 2009 24th IEEE International Symposium on efect and Fault Tolerance in VLSI Systems Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to rive Control Points Joon-Sung Yang

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Meng-Fan Wu, Jiun-Lang Huang Graduate Institute of Electronics Engineering Dept. of

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

LOW-OVERHEAD BUILT-IN BIST RESEEDING

LOW-OVERHEAD BUILT-IN BIST RESEEDING LOW-OVERHEA BUILT-IN BIST RESEEING Ahmad A. Al-Yamani and Edward J. McCluskey Center for Reliable Computing, Stanford University {alyamani, ejm@crc.stanford.edu} Abstract Reseeding is used to improve fault

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint Yannick Bonhomme, Patrick Girard, L. Guiller, Christian Landrault, Serge Pravossoudovitch To cite this version:

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing Yamato, Yuta; Wen, Xiaoqing; Kochte, Michael A.; Miyase, Kohei; Kajihara, Seiji; Wang, Laung-Terng Proceedings

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

Multiple Scan Methodology for Detection and Tuning Small Delay paths

Multiple Scan Methodology for Detection and Tuning Small Delay paths Multiple Scan Methodology for Detection and Tuning Small Delay paths N. Renupriya 1, PG Scholar, P. Meenakshi Vidya 2, M.E, Asst.Prof (SL.GR) Abstract Digital life standard demands accuracy which requires

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

At-Speed Transition Fault Testing With Low Speed Scan Enable

At-Speed Transition Fault Testing With Low Speed Scan Enable At-Speed Transition Fault Testing With Low Speed Scan Enable 1 Nisar Ahmed, C. P. Ravikumar AS Product Development Center Teas Instruments India Bangalore - 560 093 n-ahmed2,ravikumar @ti.com Mohammad

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Hybrid BST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Abhijit Jas, C.V. Krishna, and Nur A. Touba Computer Engineering Research Center Department of Electrical and

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information

Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction

Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 32, XXXX-XXXX (2018) Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction JEN-CHENG YING 1, WANG-DAUH TSENG 2, AND WEN-JIIN

More information

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMER, 2007 215 Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping Sewan Heo and Youngsoo Shin Abstract

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

HIGHER circuit densities and ever-increasing design

HIGHER circuit densities and ever-increasing design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2004 1289 Test Set Embedding for Deterministic BIST Using a Reconfigurable Interconnection Network

More information

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY 1 Chava.swapna, PG Scholar in VLSI, 2 D.Venkataramireddy, M.Tech, Assoc. Professor, ECE Department, 1 chava.swapna@gmail.com,

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Kanad Basu, Prabhat Mishra Computer and Information Science and Engineering University of Florida, Gainesville FL 32611-6120,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Nodari S. Sitchinava

Nodari S. Sitchinava Dynamic Scan Chains A Novel Architecture to Lower the Cost of VLSI Test by Nodari S. Sitchinava Submitted to the Department of Electrical Engineering and Computer Science in partial fulfillment of the

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Design of Low Power Test Pattern Generator using Low Transition LFSR for high Fault Coverage Analysis

Design of Low Power Test Pattern Generator using Low Transition LFSR for high Fault Coverage Analysis I.J. Information Engineering and Electronic Business, 2013, 2, 15-21 Published Online August 2013 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijieeb.2013.02.03 Design of Low Power Test Pattern Generator

More information

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design 30.3 Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut

More information