Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression

Size: px
Start display at page:

Download "Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression"

Transcription

1 J Electron Test (2016) 32: DOI /s x Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression Harpreet Vohra 1 Amardeep Singh 2 Received: 12 June 2016 /Accepted: 2 September 2016 /Published online: 26 October 2016 # Springer Science+Business Media New York 2016 Abstract Test data volume amount is increased multi-fold due to the need of quality assurance of various parts of the circuit design at deep submicron level. Huge memory is required to store this enormous test data which not only increases the cost of the ATE but also the test application time. This paper presents an optimal selective count compatible run length (OSCCPRL) encoding scheme for achieving maximum compression for reduction of the test cost. OSCCPRL is a hybrid technique that amalgamates the benefits of other two techniques: 10 Coded run length (10 C) and Selective CCPRL (SCCPRL) proposed here. These techniques work on improvement of the 9 C and CCPRL techniques. In OSCCPRL, entire data is segmented in blocks and further compressed using inter block and intra block level merging techniques. SCCPRL technique is used for encoding the compatible blocks while the 10C is used to do encoding at sub block (half block length) level. In case, if no compatibility is found at block/sub block level then the unique pattern is held as such in the encoded data along with the necessary categorization bits. The decompression architecture is described and it is shown how by just the addition of few states of FSM, better test data compression can be achieved as compared to previous schemes. The simulation results performed for Responsible Editor: N. A. Touba * Harpreet Vohra hvohra@thapar.edu 1 2 Amardeep Singh Amardeep_dhiman@yahoo.com Electronics and Communication Engineering Department, Thapar University, Patiala, India Computer Science and Engineering department, Punjabi University, Patiala, Punjab, India various ISCAS benchmarks circuits prove that the proposed OSCCPRL technique provides an average compression efficiency of around 80 %. Keywords Test data compression. Block merging. Compatibility. Test application time. Code-based testing 1 Introduction The integration of billions of transistors has led to the feasibility of having complete System On Chip or the so called SOC. SOCs provide improved performance, low power, smaller size and shorter time to market by incorporating a heterogeneous mix of different digital/analog logic blocks and embedded memories. The recent developments in the semiconductor technology has brought in the implementation of 3D structures [21] that provide the benefit of vertical interconnects in place of the long horizontal wires allowing much complex systems to be made in lesser space. However, all these advancements have brought in new challenges for design and test engineers [13]. Defects occurrence due to possibilities of errors like imperfections in manufacturing of transistors/interconnects at deep submicron level, misalignment during stacking of dies in 3D SOC etc. lead to a vivid increase in the number of faults. For quality assurance against these faults huge number of test vectors/test data need to be applied. This test data can either be generated on chip (with help of BIST or storage on available on chip memory) or saved externally on Automatic Test equipment (ATE) memory. The unavailability of BIST on all the embedded cores and insufficient on-chip memory (dedicated for holding the test data) makes the later approach more promising. High test volume affects cost associated with the installation of ATE and the application of the test data. ATE cost is dominated

2 736 J Electron Test (2016) 32: by the need of high end workstations for the generation and application of the test data. Likewise, the major test application cost parameter is the testing time which involves the time needed to transport the test data between the ATE and the SOC periphery and its application to the various IP cores/circuit under test [8]. Out of these, test time reduction during application of test data to various cores is taken care of by the test scheduling mechanism that allows the testing of the various cores to be done concurrently. The time needed in test data transport is directly related to the bandwidths and I/O channel capacity available between the ATE and the system on chip. Due to the pin limitation the available test bandwidth is small which requires the test data to be sent serially from ATE to the circuit under test. In order to reduce the cost associated with both test data transport and the ATE memory requirement, the test data should be compressed as much as possible. An SOC test model is presented in Fig. 1. During test mode, the compressed data which is already saved in the ATE source is shifted to the circuit under test (IP cores) at slow ATE clock. An on chip decompression is required for retrieving the original test data set. This test data can be applied to the various scan chains at the frequency of the circuit under test. Similarly, the resulting test responses can be compressed/compacted and taken out of the SOC to the ATE sink wherein they are compared with the pre-saved golden/expected responses. The output of the comparator helps identify whether the circuit under test is a working fine or not. The existing test data compression techniques can be broadly classified into three types [26]: Linear decompression based schemes Broadcast scan based schemes and Code based schemes. Out of above, linear decompression based schemes encode the test data by solving a series of linear equations. The decompression is done using linear operations based on linear feedback shift registers (LFSRs) [12,25], combinational linear XOR networks [1,31], ring counter [19,20] and twisted-ring counter [33]. Broadcast scan-based schemes utilize a single tester channel value to drive multiple internal scan chains with only combinational logic [18,28]. However, the implementation of the above mentioned techniques need structural changes in the design which are normally not permissible. Therefore, the test engineers have to work on the compression of the test sets provided by the vendors. A careful analysis of the test data of various ISCAS 85 and 89 benchmark circuits show the following combinations: huge number of don tcare bits, continuous run of zeroes and ones, runs of same pattern sequence for multiple number of times, compatible or inverse compatible sequences etc. Many researchers have been working on exploitation of one or more of the above stated features and came up with code based compression techniques. These techniques can be broadly classified into run length coding techniques or statistical coding techniques. Run-length-based coding techniques help compress the test data by encoding the runs of zeroes or ones. For example Golomb code [2], frequency directed run-length (FDR) code [3] and alternating run-length (ALT-FDR) code [4] compresses the data by encoding the run lengths of 0 s and 1 s. Similarly, blocks of equal length that show compatibility can be merged together to reduce the test data. Examples like Block merging[6], block merging with 8 C [29], 9 C [24], Pattern run-length (PRL) code [22], extended frequency-directed run-length (EFDR) code [7], multi-dimensional pattern run-length code (MDPRC) [27], internal pattern run-length (IPR) code [14], 2n pattern run-length (2n-PRL) code [5] and Count compatible run length encoding (CCPRL) [32] improves the compression efficiency. Other codes based test data compression encoding scheme are statistical codes that do the encoding based on the frequency of occurrence of the patterns [9 11,16,23]. Another technique based on efficient utilization of ATE Vector Repeat is presented in [30]. In this paper, three new techniques have been proposed to increase the compression of test data. Out of these, the first and second techniques tries to improve the compression of test data by modifying the previously proposed 9C and CCPRL techniques while, the third is a hybrid technique that presents an optimal selective count compatible run length based coding (OSCCPRL). The proposed OSCCPRL technique achieves higher compression ratio for precomputed test sets (independent of the structural details of the circuit under test) resulting Fig. 1 SOC Test Model

3 J Electron Test (2016) 32: in minimization of test application time and memory requirement for the test data. The decompression logic is quite simple and doesn t require on-chip memory unlike various dictionary based techniques [15]. The organization of the paper is as follows: Section 2 presents the SOC test data properties and overview of previous techniques. Section 2.1 briefs about various properties possessed by the SOC test data and their utilization by the different code based compression techniques. Section 2.2 provides the overview of Nine coded and Count compatible runlength encoding techniques. Section 3 presents the proposed techniques by initially highlighting the shortcomings of the previous techniques which forms motivation to do the proposed work. Sections 3.1 and 3.2 describe the proposed 10 C and selective CCPRL techniques; section 3.3 presents the proposed OSCCPRL encoding technique. Section 4 describes the decompression architecture of OSCCPRL. Section 5 contains simulation results for the test data compression for different ISCAS circuits. Finally, section 6 draws the conclusions. 2 SOC Test Data and Compression Techniques 2.1 Test Data Properties An electronic circuit can have a variety of possible manufacturing defects that can result into different faults like: stuck at, bridging faults, cross point faults, transition faults etc. According to the fault diagnosis, the test vectors are calculated that may consist of three values: 0, 1 and X (don t care). This test data being a stream of bit vectors may consist of different combinations like a continuous run of zeroes/ones/ zeroes or ones sandwiched between some don t care values/ some runs of data bits consisting of patterns of 0 s, 1 s and don t care etc. To improve the compression efficiency the various don t care values can be filled with either 0 or 1 [14,23]. Examples to show the effectiveness of test data compression obtained by using the test data properties are given in Table 1. As shown in the case 1 of Table 1, attempt is made to increase the runs of 0 s/1 s by filling the don t care bits with 0 s or1 s respectively. Such all zeroes and all ones combinations can be compressed with less no of bits by using codes like Golomb, FDR etc. Similarly, more than one number of blocks can be merged to form a representative (retained) block if they hold compatibility/inverse compatibility among them. In some cases, the retained pattern itself may hold combinations like 0U, 1U, U0, U1, UU (compatibility),uu (inverse compatibility) at sub block level (as shown in case 2 and 3). Encoding at sub block level can be done with much reduced number of bits by using codes like 9C, BM, BM-8C etc. Leftover don t care bits may either be filled as per minimum transition fill to avoid unnecessary switching in the scan in chains or left intact as they may help find additional faults sometimes. 2.2 Overview of Nine Coded and Count compatible runlength encoding techniques The Nine (9) Coded and Count compatible runlength encoding techniques are test independent techniques that employ the don t care (X) filling with appropriate bit values to minimize the test data volume. The encoding of various test data properties is done using less number of bits. The brief summary of these techniques is as given below: Nine (9) Coded compression technique The 9 C (fixed) technique partitions [24] the complete test set into blocks of fixed length. Each block is partitioned into two halves which are further checked for the different properties based on their bit pattern. For example the data in the blocks can have one of the following combinations: 00/11/01/10/0U/ 1U/U0/U1 and UU (complete block being unique). Similarly, in the variable length 9 Coding [24]: best block length is chosen by carrying multiple investigations on the test data using different block length values such that maximum compression can be achieved. In other words instead of finding a global best block length as in case of fixed 9C, local best block lengths are found and encoding is done accordingly. However, changing the value of the block length for different pattern sequences necessitates either sending the value of the block length each time or maintaining associated dictionary of block lengths so that the data can be accurately decoded on chip. All this makes the decoder design a little more complex Count Compatible Pattern run Length Encoding Scheme The CCPRL code works by selecting a pattern block which is compared with its consecutive blocks for compatibility checks. The various don t care bits are filled appropriately for making the two blocks compatible so as to form a merged pattern. This merged pattern is compared with other succeeding pattern blocks till no further merging can be done. Correspondingly the encoded stream is produced that consists of block code (binary conversion of the length of the pattern block), pattern code (merged/retained block sequence), count code (number of times matches between the retained pattern and adjacent blocks is found), compatible code (consisting of relation bits that signify the compatible/ inverse compatible relation between the retained and successive blocks) [32].

4 738 J Electron Test (2016) 32: Table 1 Examples of test data properties and don t care bits utilization Case Description Pattern Blocks with K = 12 Action taken Codeword at Block level Codeword at sub Block level 1 Runsof0 s and X s/runs of 1 s and X s 2 Compatible/Inverse compatible patterns at block and sub block levels 3 Combinations of zeroes, ones or unique pattern 0000XX0XX000 All X s changed to 0 All zeroes 00 1X11X1X11XX1 All X s changed to 1 All ones 11 10XX0111XX00 XXXX0111X XX X01 00X00011XXX1 XXXXXX X present at tenth bit position of first block changed to 0 and X s at first-second bit positions of second block are changed 1 and 0. X s at eleventh and twelveth bit positions of first pattern changed to 1 and 0 respectively X at tenth position in second block changed to 0 X s at third, tenth and eleventh bit positions of first pattern changed to 0, 1 and 1. X s at first to sixth positions in second block changed to followed by unique X000X00 111X X present at tenth bit position of second block changed to 0 to make block 10111X followed by X present at fourth bit position of 1st block changed to 1 to make followed by XX0111X000 retained pattern block (Compatibility at block) retained pattern block (Inv_Compatibility at block) retained pattern block (Inv_Compatibility at block) (retained unique pattern block) 10111X000X00 (retained unique pattern block) 111X (retained unique pattern block) No compatibility at sub block level (unique -UU) retained pattern sub block (Compa-tibility at sub block) 01 All zeroes sub block followed by All ones sub block 0U All zeroes sub block followed by Unique sub block U0 Unique sub block followed by All zeroes sub block 1U All ones sub block followed by Unique sub block 3 Proposed Test Compression Techniques The objective of an optimal test data compression technique is to encode the test data to obtain a minimum data length to improve the compression efficiency. Reduced test data further minimizes the amount of ATE memory requirement. Similarly, the encoding should ensure that it doesn t increase the on chip decoder area overhead beyond the acceptable limits. Three different approaches are presented here: out of which two techniques: 10 Coded compression and Selective Count Compatible Run Length encoding (SCCPRL) work on the short comings (as discussed in section 2) of9cand CCPRL respectively; while the third: Optimal selective count compatible run length encoding scheme (OSCCPRL) is a hybrid technique that merges the benefits of the two and improves the compression further. 3.1 Ten Coded Compression Technique Fixed and variable length 9 C [24] techniques offer good compression efficiencies but, the lack of compatibility checks between adjacent blocks still puts some limitations in the path of attaining higher compression efficiency. In other words, a better compression could have been achieved if compatibility analysis between different blocks was also checked 10 coded (10C) compression technique works on the limitation of 9C compression technique by addition of prefix and sub-prefix bits. In this technique, the test data after being segmented in equal length blocks is encoded on basis of ten different intra level cases that can broadly be classified as: eight special cases (00/11/01/10/0U/1U/U0/U1) andthree unique cases (UU/UU /UV). Among the unique cases, both U and V notations represent the unique bits. UU and UU notations

5 J Electron Test (2016) 32: Table 2 Encoding scheme of 10 coded compression technique Input Block (K = 8) Sym-bol Prefix Sub- Prefix Tail Decoder Input for 10c No. of bits in Code word (10C) Decoder Input for 9C [34] No. of bits Code word (9C) 0000_ NA _ NA _ NA _ NA _UUUU 0U 0 NA _UUUU _ UUUU _UUUU 1U 0 NA _UUUU _ UUUU 9 UUUU_0000 U0 0 NA _UUUU _UUUU 9 UUUU_1111 U1 0 NA _UUUU _UUUU 9 UUUU_UUUU UU _UUUU _ UUUU_UUUU 12 UUUU_U U U U UU _UUUU _ UUUU_U U U U 12 UUUU_VVVV UV 1 0 NA 10_UUUU_VVVV _ UUUU_VVVV 12 represent cases wherein the sub blocks carrying unique bits, hold compatible/inverse compatible relation with respect to each other. Meanwhile, UV notation is used to signify that the two sub blocks carry unique bits and are uncompatible. The encoding scheme is as presented in the Table 2 As shown in column number 3 of Table 2, the status of the prefix bit is set either to 0 to specify the occurrence of one out of eight special cases or to 1 to signify the unique cases. Among the unique cases, the sub-prefix bit is set to 1 to signify if the sub blocks hold compatibility else it is set to 0. As per the comparison between total number of bits in encoded codewords for 10C and 9 C techniques (given in columns 7 and 9 respectively), 10C offers an advantage of :1 bit for 01, 10, U0, U1, 0U and 1U cases (it being true for all block lengths except the block length =10 bits wherein both 10C and 9C will gave same codeword length); 1+ (block length/2) bits for UU and UU cases and 2 bits for UV cases. At the same time, 10C provides a disadvantage of extra 3 bits for all zeroes and 2 bits for all ones cases. Based on the statistics of frequency of occurrence of different combinations of test patterns, the penalty of additional 3 and 2 bits in cases of all zeroes and all ones respectively can be offset if the number of unique cases are more. For example, a random sequence: 00XX0111X110101X00X0111X1X0-0X000XX000XX when segmented in blocks of length 10 bits result in the patterns given in column no 2 of Table 3. The data blocks on being encoded using 9C and 10C run length techniques result in 49 and 37 bits respectively. Hence a saving of 12 bits can be achieved by using 10C technique. The various combinations and corresponding code words are as presented in Table Selective CCPRL In case of CCPRL, if the pattern turns out to be unique,thena count code is still needed to be added to signify zero block match. In such cases, the extra count code bits lead to inefficient test data compression. As the name suggests, the Selective CCPRL technique works on the basis of selection between: whether to encode as per CCPRL scheme or not. This selection between the inter block merging cases and unique cases is done using an Table 3 Example to demonstrate 10C encoding compression efficiency over 9C Input Block Combinations with block length K = 10 Encoding combinations as per 9C with Encoding combinations as per 10C Cases Codeword No. of bits Cases Codeword No. of bits 1 00xx0_111x _x00x0 U _ U0 0101_ x1_x00x xx0_00xx _10101 UU 1111_ 10101_ UU 110_ _01010 UU 1111_ 10101_ UU 111_ Total no of bits 49 37

6 740 J Electron Test (2016) 32: additional bit called detect bit which is added as a prefix to code word. The status of the detect bit is set to 1 if the merging is possible else it is set to 0. The corresponding coding scheme so formed consists of encoding prefixes (block code : indicates the binary conversion of pattern length and needs to be added in the beginning, detect bit: to represent if merging is possible) and tails (retained pattern code: presents the retained pattern sequence with length equal to the decimal value corresponding to block code, count code: represents count of compatible blocks with retained pattern, compatibility code: signifies compatibility relation of each block with retained pattern block which is set to 0 if compatible and 1 if inverse compatible). For Unique cases, retained pattern needs to be just preceded by the detect bit (equal to 0 ). This nullifies the need to send redundant count code (with all zeroes to represent 0 block matching). The encoding of the test data in case of inter block merging is done in a way very similar to CCPRL. An example to show the effectiveness of the SCCPRL over CCPRL for the sequence is provided in Fig. 2. The pattern length k is taken to be 6 (fixed) and the lengths of block and count code is taken to be 3 bits each. The example shown in the Fig. 2 employs fixed block size due to which the block count (110) needs to be sent just once in the beginning of the encoded output. It may be noted that if the same encoding had to be done without using detect bit then additional count code ( 000 ) had to follow the retained patterns to signify unique cases. For increasing the compression even further, variable block sizes can be used. This is done as follows: for a block count of R bits, all combinations of block sizes ranging from 3 to 2 R -1 are tried to find the optimum compression. For example, for a block count of 4 bits, iterations are run for block sizes equal to 3,4,5,6.15 and the corresponding compression efficiencies calculated as per the Eq. 1 (given below) are calculated and compared. Compression efficiency ¼ uncompressed tst length compressed test length uncompressed test length *100 ð1þ The best block size value is chosen and accordingly the code word is generated. The minimum value block size equal to 3 is chosen since below 3 bits the number of the test data bits will be expanded instead of getting compressed [3,17,22]. In each iteration, search is done till no more compatibility is found and a code word is generated accordingly. Left over bit sequences are put for next round of investigation. For keeping the decoder design simple the number of bits in the block count and count code are chosen to be same. 3.3 Optimal Selective Count Compatible run Length Code OSCCPRL technique is an amalgamation of good features of 10 C and SCCPRL techniques. Like SCCPRL, the inter block merging in OSCCPRL may involve multiple blocks (contrary to the 10C technique wherein the inter block merging is limited to only two blocks). Similarly, if the pattern block is found to be unique, then intra block level merging is investigated for presence of special eight different combinations (unlike SCCPRL wherein the unique block needs to be retained as such). The encoding scheme of OSCCPRL consists of two extra bits namely: detect and prefix. The significance and status of the two signals for various cases are as shown in Table 4.The detect bit is used to differentiate between inter versus intra block level merging similar to SCCPRL technique. Among the intra level cases, the status of the prefix bit helps in the selection of the occurrence of one of special eight cases and unique cases. OSCCPRL techniques works on the principle of achieving maximum compression by opting either inter or intra block merging on the basis of the compression achieved by both. This technique works as follows: based on the value R (number of bits to represent block code), inter block level investigations are done using different block sizes. Encoding is done similar to SSCPRL (as explained in section 3.2) using detect Fig. 2 The code word using SCCPRL

7 J Electron Test (2016) 32: Table 4 Encoding scheme of Optimal Selective Count Compatible Run Length encoding technique Cases Sub Case (i) Input Block (K = 12) Case Detect Bit Prefix Tail Code word (Ci) Decoder Input A (Intra Block) B (Inter Block) _ _ _ _ _ _ _ _ _UUUUUU 0U _011_UUUU _UUUUUU 1U _100_UUUU 7 UUUUUU_ U _101_UUUU 8 UUUUUU_ U _110_UUUU 9 UUUUUU_VVVVVV UV 0 1 UUUUUU_VVVVVV 01_UUUUUU_VVVVVV 10 (Bi/B (i + 1) Or COMP/INV. COMP 1 NA Selective CCPRL 1 _Pattern Code_ Count code _compatible Code bit, block code, pattern code, count code and compatibility coded. Based on the analysis of the compression efficiency achieved in each case, selection of the optimum block size and its associated compression efficiency is done. Similarly, the pattern block of fixed size is selected and considered for intra block checks (to explore the possibility of occurrence of one of special eight cases as mentioned under sub cases 1 8 of Table 4). The encoded code word so formed includes detect bit followed by prefix and tail code word respectively. Using the codeword length, Intra block level compression efficiency is also calculated. Based on the comparison of the compression efficiencies obtained in each case, either of the two is selected. For rare cases when both inter block and intra block level merging fail, then the unique pattern is sent as such along with detect and prefix bits being set to 0 and 1 respectively. As is evident from the Table 4, the number of the redundant bits that need to be sent in case of unique pattern is always two irrespective of the size of the pattern code. An example of a random test sequence: 00XX00000XX XXXX11111XXX (63 bits) to show the effectiveness of the OSCCPRL technique over CCPRL and SCCPRL is presented in Table 5. In all three techniques, block code and count code are taken to be of 3 bits each. Since the length of block code is 3 bits so, the block lengths (K) for the investigation can range from 3 to (3,4,5,6 and 7) as shown in rows 2 6 oftable5. Current round (column 2) considers the blocks that can be merged and the leftover bits are further moved to next round. The compression efficiency is calculated using Eq. 1 in each case. As evident from the compression efficiency results obtained in Table 5: if a block length of 3 bits is employed in CCPRL, the T E1 and T D values are same, which means no compression is achieved at all. However, the fact that there is no increase in the test data length makes it best choice out of lot (3, 4, 5, 6 and 7); SCCPRL results are better than CCPRL only when no inter block merging is possible at all. Results obtained for intra case with block length K = 7 are presented in row no 7. As evident from the compression efficiency analysis, intra block encoding for OSCCPRL turns out to be a better option for current round. It may be noted that the compatibility check at the sub block level as mentioned in 10C technique has been intentionally avoided since its inclusion would require an extra sub prefix bit which nullifies the advantage offered by SCCPRL. 4 Decompression Architecture The decompression architecture for the optimal selective count compatible run length encoding is shown in Fig. 3. From the top level the decompressor has four incoming signals: ATEclk, CUTclk, data_in and Ack and one out going signal: scan_out. The encoded data stream is received from ATE through data_in at ATE clock frequency (ATEclk), decoded and fed to the circuit under test (CUT) at the circuit frequency CUT_clk through scan _out line. The major blocks of decompression architecture are FSM, module R/module C counter, K bit counter, K bit shift register and buffer. The FSM is used to generate appropriate control signals based on the various bits received from the data_in. The counter1 :module R/n are used to count till the block count and count code while the K bit counter is used for receiving the pattern code. The K bit multiplexer is used to fill in the appropriate bits to deliver the test data based on the select lines received from the FSM. The scan_en signals finally controls when to enable and let go the test data onto the circuit under test. The description of the various blocks of the decoder is as follows:

8 742 J Electron Test (2016) 32: Table 5 Compression ratio using CCPRL, SCCPRL and OSCCPRL K Current Round AndLength(T D ) Codeword and length Using CCPRL (T E1 ) Codeword and length Using SCCPRL (T E2 ) Codeword and length Using OSCCPRL (T E3 ) 7 00XX000 (7 bits) 111_00XX000_000 (13 bits) 0_111_00XX000 (11 bits) 0_111_00XX000 (11 bits) 6 00XX00 (6 bits) 110_00XX00_000 (12 bits) 0_110_00XX00 (10 bits) 0_110_00XX00 (10 bits) 5 00XX00000X (10 bits) 101_00000_001_0 (12 bits) 1_101_00000_001_0 (13 bits) 1_101_00000_001_0 (13 bits) 4 00XX0000 (8 bits) 100_0000_001_0 (11 bits) 1_100_0000_001_0 (12 bits) 1_100_0000_001_0 (12 bits) 3 00XX00000XX1 (12 bits) 011_000_011_001 (12 bits) 1_011_000_011_001 (13 bits) 1_011_000_011_001 (13 bits) Intra-block merging with K=7 00XX000 (7 bits) NA NA (5 bits) Compression Next Round and length [(T D -T E1 )/ (TD)]*100 (CCPRL) [(T D -T E2 )/(T D )]*100 (SCCPRL) [(T D -T E3 )/(T D )]*100 (OSCCPRL) 85.7 % % % 00XX XXXX11111XX X (56 bits) 100 % 66.7 % 66.7 % 000XX XXXX11111X XX (57 bits) 20 % 30 % 30 % X XXXX11111XX X (53 bits) 37.5 % 50 % 50 % 0XX XXXX11 111XXX (55 bits) 0% 76.9 % 76.9 % XXXX11111 XXX (51 bits) NA NA % 00XX XXX X11111XXX (56 bits)

9 J Electron Test (2016) 32: Fig. 3 Decompressor Architecture 4.1 Counters The decompression circuit consists of two counters: counter1: module R/module C counter and counter2: K/n bit counter. The functions of the first counter is to count and hold the value of the retained pattern length (module R) in the start of the decoding process and count code (module C) during the later part when merging is being done at the block level. As described in section 3.2, R and C values helps in defining retained pattern length and number of such compatible pattern blocks. The counter 2 performs three functions: reception of the pattern block of K bit length/sub block of K/2 bit length or compatibility bits equal in number as defined by the C value. The working of the counters can be explained in reference to selective CCPRL case and eight special cases as follows: Case1 (Inter Block Merging) on the K bit buffer is then shifted out to the circuit under test using the scan_en signal generated by the FSM. From here the data would be sent to the circuit under test at CUTclk Case2 (Intra Block Merging: Special Eight Cases) During the occurrence of one of the 0U,1U,U0,U1 combinations as decided by the FSM, the counter 2 and scratch register are made to work till K/2. This is done by setting the status of the flag2[1:0] lines = 10. The rest of the data packet formation and transfer to CUT is done in more or less the same way as explained earlier relative to select line sel[1:0] and scan_en. The occurrence of one out of rest four cases (00, 01, 10, 11), deactivates the counters. FSM sets the appropriate sel[1:0] lines for appropriate data packing. On the initialization of reception of encoded data from ATE as signified by ACK signal, the initial R bits are loaded into the counter 1 using inc and flag1 signals. For holding the block code,theflag1 is set to 1.Oncompletion of the R bits, the counter asserts a 1 at the done1 line. On receiving done1 =1,theFSMsetsflag2[1:0] = 00 to make counter2 work as K bit counter. Shift, dec2 signals help shift the data to the K bit scratch register through pattern_in line. On completion of the counting/resetting of counter the done2 signal is set to 1. Next, FSM generates the control signal flag1 = 0 to make thecounter1workasmodulec counter. Once the counter starts its task it maintains done1 = 0. Oncompletionof module C, the counter asserts done1 = 1 again. Occurrence of high on done1 leads the counter2 as n bit counter to receive the compatibility bits. This is done by setting the flag2[1:0] lines equal to 01. Corresponding to the compatibility bits the FSM generates the appropriate select signals for MUX which either feeds the retained pattern or inverse of it to the buffer. The data Case 3 (Unique Case) On reception of detect= 1 the FSM sets Flag2 [1:0] is set to 11 and hence the counter2 and scratch registers are made to work for K bits. However here the select lines allow the pattern received to be directly fed to the CUT through the K bit buffer. 4.2 FSM The FSM of the decoder is shown in Fig. 4. It initiates in state S0 where in the initial fixed block count value is uploaded in counter 1. On receiving the complete count value, counter generates the done1 signal. From here if the data_in value is equal to 1, then the FSM generates control signal for SCCPRL at block level. On the other hand, receiving a 0 on data_in signal, makes the FSM generate an output analogous to occurrence of one of special eight cases (described earlier). Depending upon the various states, the FSM

10 744 J Electron Test (2016) 32: Fig. 4 FSM of Decompressor Architecture generates the select lines for the output buffer and various counters. The leaf nodes: C0-C9 represents the different encoding cases. 5 Simulation Results In order to validate the efficiency of these proposed techniques, comparisons are done with previous techniques using different benchmark circuits. The test sets generated by Mintest ATPG for Six large ISCAS 89 benchmark circuits are taken as input and fed to the various compression algorithms. Figure 5 represent the frequency of occurrence of inter block and unique cases for test vector files of various benchmark circuits. A fixed block size equal to 8 for all the benchmark circuits has been taken just as an example and may not give the best efficiency. It may be observed that if the frequency of occurrence of compatible cases is always more than that of unique case then, the overhead of extra detect bit for the inter cases may reduce the compression efficiency. Hence, to take advantage of SCCPRL, unique cases should be more. On analysing the unique cases further, blocks may be found to have occurrence of special 8 cases. Figure 6 provides the Fig. 5 Frequency of occurrence of inter block merging and unique case for different benchmark circuits (for fixed block size =8) frequency of occurrence of special 8 cases within the unique pattern blocks. So if these eight special cases are encoded with lesser number of bits then better compression can be achieved. Same is being done in OSCCPRL. The results corresponding to different encoding schemes and the proposed OSCCPRL are presented in Table 6. Assuming T D and T E represents the uncompressed and compressed test data length respectively. The compression efficiency percentage can be obtained using Eq. 1. The comparisons are made between the proposed techniques and other schemes like Golomb [2], FDR [3], EFDR [11], 9C[24], BM[6], CCPRL[32], 2 n - PRL[5], BM-8C[29] in terms of the compression efficiency in percentage. The results for 10C are presented in columns 10 of Table 6. The best compression results are presented here which were obtained for block (K) equal to: 16, 12, 14, 12, 18 and 10 bits for benchmark circuits: s5378, s9234, s13207, s38417, s15850 and s38584 respectively. It may be noted that the 10C does provide a benefit of 1 2 % only in some of the benchmark circuits. Based upon the statistics, it could be concluded that the fall in efficiency occurs for the benchmarks with more number of don tcarebits. Filling of such don t care bits can help in increasing run of cases like all zeroes etc. All zeroes being encoded by using only one bit in 9C gives it an advantage of better compression. At the same time, this result can be improved if variable length 10C approach (similar to the approach used in 9C) is used. Due to shortage of space, the discussion and results for variable 10C are not included here. The results of SCCPRL are presented in column number 11, the block count length (R) is chosen to be 6, 5, 6, 5, 4, 6 (in bits) for the circuits s5378, s9234, s13207, s15850, s38417 and s38584 respectively. However, the count code is kept to be 3 bits in all cases. Row 9 of Table 6

11 J Electron Test (2016) 32: Fig. 6 Frequency of occurrence of special eight cases for different benchmark circuits represents the improvement obtained in application of SCCPRL in comparison to various techniques mentioned in the respective columns. As can be seen, SCCPRL shows very little advantage over CCPRL. Effectiveness of the SCCPRL technique in improving the compression efficiency can be more pronounced if the frequency of occurrence of the unique cases is high. In other words, the overhead of extra detect bit in cases of inter block merging can be offset by the advantage provided by reduction of redundant bits. The same is done in OSCCPRL by selection of Intra block merging over Inter. Row 9 of Table 6 represents the improvement obtained in application of OSCCPRL in comparison to various techniques mentioned in the respective columns. The block count length (R) is chosen to be 6, 5, 6, 5, 5, 6 (in bits) for the circuits s5378, s9234, s13207, s15850, s38417 and s38584 respectively. The fixed block_ size value (chosen for categorization of Intra block merging or unique cases) achieved for best cases are 16, 20, 48, 20, 12, 24 for the circuits s5378, s9234, s13207, s15850, s38417 and s38584 respectively. However, the count code is kept to be 3 bits in all cases since the frequency of occurrence of merging of blocks is not substantial beyond seven. Comparison of the Decoder Area The hardware overhead of the decoder of OSCCPRL (modeled using Verilog HDL and synthesized using Encounter RTL compiler from Cadence with 1.8 V, TSMC 180 nm CMOS standard cell library) is presented in Table 7. The full-scan ISCAS 89 benchmark circuits are synthesized with single scan-chain. The comparison with respect to various other compression techniques is presented in Table 7. It can be concluded that the area overhead is comparable to recently proposed BM-8C [29]and CCPRL[5] techniques but, it is larger as compared to 9C [24] and FDR [3]. Therefore, if there is a limitation in terms of the available silicon area then decision to employ the OSCCPRL technique should be made after weighing the trade-offs of decoder area and needed test data compression. At the deep submicron level, being just a fraction of the overall larger SOCs design, such overhead may sometimes be acceptable. Test Application Time Comparison As mentioned in the section 1, the compressed test bits are fed to the on chip decompressor at ATE clock frequency (f ate ).The FSM recognizes the codewords and generates the actual test data which is further applied to the circuit under test at circuit frequency (f CUT ).For synchronization f ate is selected to be an integral Table 6 Comparison of compression ratio of OSCCPRL with other test-independent compression techniques in terms of compression efficiency % Benchmark circuit Golo-mb [2] FDR [3] EFDR [29] 9C [5] B.M [15] CCPRL [5] 2 n -PRL [7] B.M-8C [14] 10C SCCP RL OSCCP RL s s s s s s Average % Improvement in SCCPRL % Improvement in OSCCPRL

12 746 J Electron Test (2016) 32: Table 7 Comparison of decompression area overhead for OSCCPRL with other compression techniques Benchmark circuit FDR BM-8C 9C CCPRL OSCCPRL [3] [29] [24] [32] S S S S S S multiple of the f CUT. Let the frequency ratio be α =f CUT /f ATE. Assuming the compressed test data has N codewords C 1 C N and each codeword has a length of W i (i = 1, 2,,N). Let α max = max 2<i <N (Hi 1/Wi)whereHi-1 is the length of decompressed test data for the codeword Ci-1. If α α max,minimum TAT can be calculated as [7,14]: TATmin ¼ X N h i. Wi þ max Hn α 2 α i ð2þ Table 8 Comparison of Test application time achieved for OSSCPRL with other compression techniques in terms of the f ate Circuits α FDR EFDR BM BM-8C OSCCPRL S ,933 17,075 16,018 15,088 13, ,803 13,172 12,239 11,191 9, ,259 12,096 11,183 10,348 7, ,039 11,652 10,899 10,089 7,390 S ,039 26,129 26,336 24,281 21, ,206 21,424 20,828 18,410 14, ,675 20,557 19,762 17,278 11, ,086 20,318 19,436 16,921 11,030 S ,16,101 88,487 88,045 87,319 84, ,361 52,711 50,784 49,730 44, ,089 41,898 39,177 38,138 31, ,358 36,946 33,768 32,326 25,243 S ,020 46,076 46,076 44,110 41, ,270 32,517 32,084 29,553 24, ,732 28,798 28,216 25,522 19, ,362 27,172 26,518 23,673 16,710 S ,86,261 1,04,569 1,09,180 1,06,725 93, ,23,700 75,614 80,273 79,074 61, ,13,451 68,212 73,286 71,564 52, ,10,521 65,509 70,202 69,069 48,719 S ,79,530 1,19,849 1,18,844 1,13,821 10, ,18,628 86,320 83,255 76,161 62, ,04,630 78,066 73,953 66,048 48, ,260 74,955 70,692 61,908 42,518 If α < α max, the ATE will be stalled several cycles to wait for the CUT to apply the decompressed test data, which occurs when the time consumed for ATE to send the codeword C i to FSM is shorter than the time consumed for the CUT to apply the decompressed test data of the previous codeword C i 1. Then, the total TAT will be calculated as [29]: TAT ¼ TATmin þ X N n o. max Hi 1 wi *α; 0 α ð3þ i¼2 The TAT values calculated as per Eqs 2 and 3 for different benchmark circuits and α values are presented in Table 8. Results are compared with the TAT obtained for other compression techniques like FDR[3], EFDR[7], BM[6], BM- 8C[29], As evident from the table, proposed OSCCPRL offers much reduced Test application time. 6 Conclusion The test data compression is a very promising technique to reduce the test data volume and challenges of test application time. This paper proposed three different techniques for test data compression namely 10 Coded, Selective CCPRL and Optimal selective count compatible run length (OSCCPRL). In OSCCPRL technique, the pattern blocks are treated for compression in both vertical (inter block) and horizontal (intra block) directions. Encoding at inter and intra block levels is done as per SCCPRL and 10C respectively. It improves the test data compression efficiency without the need of any structural information of the circuit under test. As per the simulation results of the application of the OSCCPRL on various ISCAS 89 benchmark circuits, it can be seen that the compression efficiency is increased by % in comparison to the previously proposed techniques. The decompressor architecture area overhead is found to be comparable to the earlier techniques. As evident from the experimental results, the test application time too is reduced by % in this scheme. Acknowledgment The authors would like to thank Dr. Nur ATouba of University of Texas at Austin, Texas, USA for providing the test vectors for various benchmark circuits. References 1. Bayraktaroglu I, Orailoglu A. (2003) Decompression hardware determination for test volume and time reduction through unified test pattern compaction and compression. In: Proceedings IEEE VLSI test symposium (VTS), pp Chandra A, Chakrabarty K (2001) System-on-a-chip data compression and decompression architecture based on Golomb codes. IEEE Trans Comput Aided Des Integr Circuits Syst 20(3): Chandra A, Chakrabarty K (2003) Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes. IEEE Trans Commun 52(8):

13 J Electron Test (2016) 32: Chandra A, Chakrabarty K (2003) A unified approach to reduce SoC test data volume, scan power and testing time. IEEE Trans Comput Aided Des Integr Circuits Syst 22(3): Chang CH, Lee LJ, Tseng WD, Lin RB (2012) 2n pattern runlength for test data compression. IEEE Trans Comput Aided Des Integr Circuits Syst 31(4): El-Maleh AH (2008) Efficient test compression technique based on block merging. IET Comput Digit Tech 2(5): El-Maleh AH (2008) Test data compression for system-on-a-chip using extended frequency-directed run-length code. IET Comput Digit Tech 2(3): Gonciari P.T., Al-Hashimi B.M., Nicolici N.(2002) Improving compression ratio, area overhead, and test application time for system on-a-chip test data compression/decompression. In: Proceedings IEEE design automation and test in Europe conference and exhibition (DATE), pp Gonciari PT, Hashimi BA, Nicolici N (2003) Variable-length input huffman coding for system-on-a-chip test. IEEE Trans Computer- Aided Design 22: Haiying Y, Kun G, Xun S, Zijian J (2016) Power Efficient test data compression method for SoC using alternating statistical Runlength coding. J Electron Test 32: Jas A, Ghosh DJ, Ng M-E, Touba NA (2003) An efficient test vector compression scheme using selective Huffman coding. IEEE Trans Comput Aided Des 22: Krishna C, Touba N.A. (2002) Reducing test data volume using LFSR reseeding with seed compression. In: Proceedings IEEE international test conference (ITC), pp Lee H-H S, Chakrabarty K (2009) Test challenges for 3D integrated circuits. IEEE Des Test Comput 26(5): Lee L-J, Tseng W-D, Lin R-B (2011) An internal pattern run-length methodology for slice encoding. ETRI J 33(3): Li L, Chakrabarty K (2003) Test data compression using dictionaries with selective entries and fixed-length indices. ACM Trans Des Autom Electron Syst 8(4): Mehta US, Dasgupta KS, Devashrayee NM (2010) Modified selective Huffman coding for optimization of test data compression, test application time and area overhead. J Electron Test 26(6): Mehta US, Dasgupta KS, Devashrayee N (2010).Hamming Distance Based Reordering and Columnwise Bit Stuffing with Difference Vector: A Better Scheme for Test Data Compression with Run Length Based Codes. In proceeding 23rd International Conference on VLSI Design, pp: Miyase K, Kajihara S, Reddy SM (2004) Multiple scan tree design with test vector modification. In Proceedings IEEE Asian test symposium (ATS), pp Mrugalski G, Rajski J, Tyszer J (2004) Ring generators new devices for embedded test applications. IEEE Trans Comput Aided Des Integr Circuits Syst 23(9): Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Trans Comput Aided Des Integr Circuits Syst 23(5): Ramm P, Armin K, Josef W, Maaike M, Taklo V (2010) 3D systemon-chip technologies for more than moore systems. Microsyst Technol 6: Ruan X, Katti R.(2006) An efficient data-independent technique for compressing test vectors in systems-on-a-chip. In: Proceedings IEEE Computer Society Annual Symposium on Emerging VLSI technologies and architectures (ISVLSI), pp Sivanantham S, Padmavathy M, Gopakumar G, Mallick PS, Perinbam JRP (2014) Enhancement of test data compression with multistage encoding. J Integ VLSI J 47: Tehranipoor M, Nourani M, Chakrabarty K (2005) Nine-coded compression technique for testing embedded cores in SoCs. IEEE Trans Very Large Scale Integ (Vlsi) Syst 13(6): Tenentes V, Kavousianos X, Kalligeros E (2010) Single and variable-state-skip LFSRs: bridging the gap between test data compression and test set embedding for IP cores. IEEE Trans Comput Aided Des Integr Circuits Syst 29(2): Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23(4): Tseng W-D, Lee L-J (2010) Test data compression using multidimensional pattern run-length codes. J Electron Test 226: Wang L., Wen X, Furukawa H., Hsu F. Lin S.,Tsai S.,Abdel-hafez K.S, Wu S. (2004). Virtual Scan: A new compressed scan technology for test cost reduction. In Proceedings IEEE international test conference (ITC), pp Wu T, Liu H, Liu PJ (2013) Efficient Test compression technique for SoC based on block merging and eight coding. J Electron Test 29: doi: /s Yang JS, Lee J, Touba NA (2014) Utilizing ATE Vector repeat with linear decompressor for test vector compression. IEEE Trans Comput-Aided Des Integ Circuits Syst 33(8): Yi M, Liang H, Zhang L, Zhan W (2010) A novel x-ploiting strategy for improving performance of test data compression. IEEE Trans VLSI Syst 18(2): Yuan H, Mei J, Song H, Guo K (2014) Test data compression for system-on-a-chip using count compatible pattern Run-length coding. J Electron Test 30: Zhou B, Y-Zheng Y, Li Z, Zhang J, Wu X, Ke R (2010) A test set embedding approach based on twisted-ring counter with few seeds. Integr VLSI J 43: Harpreet Vohra received her Masters degree in VLSI.Design. She joined Thapar University in year 2006 and is working as Assistant Professor with Electronics and Communication Engineering Department. Her Interest areas include low power VLSI design and test. She has guided around 14 M.Tech. thesis. Amardeep Singh received his BTech degree in Electronics and Communication from MIT and his MTech degree in Computer Science and Engineering from Punjabi University, Patiala. He received his PhD degree in VLSI Testing using DNA and Quantum computing Algorithms in 2006 from Thapar University, Patiala. Now he is holding an academic position as Professor in Computer Engineering Department, Punjabi University, Patiala, India. His main interest areas include nanocomputing, embedded systems, and nonconventional algorithms.

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression World Applied Sciences Journal 32 (11): 2229-2233, 2014 ISSN 1818-4952 IDOSI Publications, 2014 DOI: 10.5829/idosi.wasj.2014.32.11.1325 A Combined Compatible Block Coding and Run Length Coding Techniques

More information

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Estimation on Test Compression Technique for SoC based Design Indian Journal of Science and Technology, Vol 8(4), DOI: 0.7485/ijst/205/v8i4/6848, July 205 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Estimation on Test Compression Technique for SoC based

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * V. Tenentes, X. Kavousianos and E. Kalligeros 2 Computer Science Department, University of Ioannina, Greece 2

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Anshuman Chandra and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University Durham, NC 27708 {achandra,

More information

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores CacheCompress A Novel Approach for Test Data Compression with cache for IP cores Hao Fang ( 方昊 ) fanghao@mprc.pku.edu.cn Rizhao, ICDFN 07 20/08/2007 To be appeared in ICCAD 07 Sections Introduction Our

More information

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE 926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008 model interconnect with bends. Hence, the proposed cascading method is more appropriate. It is important

More information

Deterministic BIST Based on a Reconfigurable Interconnection Network

Deterministic BIST Based on a Reconfigurable Interconnection Network Deterministic BIST Based on a Reconfigurable Interconnection Network Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

HIGHER circuit densities and ever-increasing design

HIGHER circuit densities and ever-increasing design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2004 1289 Test Set Embedding for Deterministic BIST Using a Reconfigurable Interconnection Network

More information

Synchronization Overhead in SOC Compressed Test

Synchronization Overhead in SOC Compressed Test TVLSI-289-23.R Synchronization Overhead in Compressed Test Paul Theo Gonciari, Member, IEEE, Bashir Al-Hashimi, Senior Member, IEEE, and Nicola Nicolici, Member, IEEE, Abstract Test data compression is

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding C. V. KRISHNA, ABHIJIT JAS, and NUR A. TOUBA University of Texas, Austin Previous forms of LFSR reseeding have been static (i.e.,

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY 1 Chava.swapna, PG Scholar in VLSI, 2 D.Venkataramireddy, M.Tech, Assoc. Professor, ECE Department, 1 chava.swapna@gmail.com,

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS Jiří Balcárek Informatics and Computer Science, 1-st class, full-time study Supervisor: Ing. Jan Schmidt, Ph.D.,

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Test Compression for Circuits with Multiple Scan Chains

Test Compression for Circuits with Multiple Scan Chains Test Compression for Circuits with Multiple Scan Chains Ondřej Novák, Jiří Jeníček, Martin Rozkovec Institute of Information Technologies and Electronics Technical University in Liberec Liberec, Czech

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

Survey of low power testing of VLSI circuits

Survey of low power testing of VLSI circuits Science Journal of Circuits, Systems and Signal Processing 2013; 2(2) : 67-74 Published online May 20, 2013 (http://www.sciencepublishinggroup.com/j/cssp) doi: 10.11648/j.cssp.20130202.15 Survey of low

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction 2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction Sungyoul Seo 1, Yong Lee 1, Hyeonchan Lim 1, Joohwan Lee

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING Harmandeep Singh Nijjar 1, Charanjit Singh 2 1 MTech, Department of ECE, Punjabi University Patiala 2 Assistant Professor, Department

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points

Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points 2009 24th IEEE International Symposium on efect and Fault Tolerance in VLSI Systems Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to rive Control Points Joon-Sung Yang

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Meng-Fan Wu, Jiun-Lang Huang Graduate Institute of Electronics Engineering Dept. of

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

OMS Based LUT Optimization

OMS Based LUT Optimization International Journal of Advanced Education and Research ISSN: 2455-5746, Impact Factor: RJIF 5.34 www.newresearchjournal.com/education Volume 1; Issue 5; May 2016; Page No. 11-15 OMS Based LUT Optimization

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

A Low Power Hamming Code Based Controller with Splitting Logic Built-in Self Test Scheme

A Low Power Hamming Code Based Controller with Splitting Logic Built-in Self Test Scheme A Low Power Hamming Code Based Controller with Splitting Logic Built-in Self Test Scheme P.Indira 1 and Dr.M.Kamaraju 2 1 Research Scholar, Department of Electronics & Instrumentation Engineering, GITAM

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

A Literature Review and Over View of Built in Self Testing in VLSI

A Literature Review and Over View of Built in Self Testing in VLSI Volume-5, Issue-4, August-2015 International Journal of Engineering and Management Research Page Number: 390-394 A Literature Review and Over View of Built in Self Testing in VLSI Jalpa Joshi 1, Prof.

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Implementation of Memory Based Multiplication Using Micro wind Software

Implementation of Memory Based Multiplication Using Micro wind Software Implementation of Memory Based Multiplication Using Micro wind Software U.Palani 1, M.Sujith 2,P.Pugazhendiran 3 1 IFET College of Engineering, Department of Information Technology, Villupuram 2,3 IFET

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

INTEGRATION, the VLSI journal

INTEGRATION, the VLSI journal INTEGRATION, the VLSI journal ] (]]]]) ]]] ]]] Contents lists available at ScienceDirect INTEGRATION, the VLSI journal journal homepage: www.elsevier.com/locate/vlsi Capture-power-aware test data compression

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Motion Video Compression

Motion Video Compression 7 Motion Video Compression 7.1 Motion video Motion video contains massive amounts of redundant information. This is because each image has redundant information and also because there are very few changes

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test American Journal of Applied Sciences 9 (9): 1396-1406, 2012 ISSN 1546-9239 2012 Science Publication Low Transition Test Pattern Generator Architecture for Built-in-Self-Test 1 Sakthivel, P., 2 A. NirmalKumar

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

A Novel Architecture of LUT Design Optimization for DSP Applications

A Novel Architecture of LUT Design Optimization for DSP Applications A Novel Architecture of LUT Design Optimization for DSP Applications O. Anjaneyulu 1, Parsha Srikanth 2 & C. V. Krishna Reddy 3 1&2 KITS, Warangal, 3 NNRESGI, Hyderabad E-mail : anjaneyulu_o@yahoo.com

More information

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Hybrid BST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Abhijit Jas, C.V. Krishna, and Nur A. Touba Computer Engineering Research Center Department of Electrical and

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

Design of Low Power Efficient Viterbi Decoder

Design of Low Power Efficient Viterbi Decoder International Journal of Research Studies in Electrical and Electronics Engineering (IJRSEEE) Volume 2, Issue 2, 2016, PP 1-7 ISSN 2454-9436 (Online) DOI: http://dx.doi.org/10.20431/2454-9436.0202001 www.arcjournals.org

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information